InSite

Login

Notes

Skip Navigation LinksEMLC 2014 Conference Program

EMLC 2014 - Conference Program 

Conference Program 

 Tuesday, June 24th, 2014

09:00 Welcome and Introduction     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair
09:10 Silicon Saxony e.V. - Europe's most successful regional High-Tech Cluster - On the way to a strong European Alliance  

Heinz Martin Esser, CEO, Roth & Rau – Ortner GmbH, Dresden

 

         Session 1 - Plenary Session I                                                       
Session Chairs:
Jo Finders, ASML, Veldhoven, The Netherlands; 
R. Seltmann, Globlafoundries, Dresden, Germany

09:40  Keynote  Speaker I (Invited)                                                              
EUV Mask Infrastructure - Are we ready to meet the demands for the consumer electronics market?  
 

J. H. Peters, Carl Zeiss SMS GmbH, Jena, Germany

 
10:20 Best Paper of PMJ 2014 (Invited)  
10:40 Best Poster BACUS 2014 (Invited)
Black Border, Mask 3D effects: covering challenges of EUV mask architecture for 22 nm node and beyond
N. Davydova1, E. van Setten1, R. de Kruif1,
B. Connolly2, N. Fukugami3, Y. Kodera3,
H. Morimoto3, Y. Sakata3, J. Kotani3, S. Kondo3, T. Imoto3, H. Rolff3,
A. Ulrich3, A. Lammers1,
G. Schiffelers1, J. van Dijk1
1) ASML Netherlands B.V., Veldhoven, The Netherlands
2) Toppan Photomasks Inc., Dresden, Germany
3) Toppan Printing Co., Ltd. Niiza, Saitama, Japan
4) AMTC GmbH & Co. KG, Dresden, Germany
11:00 Review Industry Mask Survey and Provide EUV Mask Outlook  
V. Jindal, M. Malloy, S. Wurm, SEMATECH, Albany, NY, USA
11:30 Coffee Break

 

         Session 2 - Plenary Session II                                                    
Session Chairs:
B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;
C. Gale, Applied Materials, Dresden, Germany

12:00

Keynote Speaker II (Invited)
EUV Lithography-Progress, Challenges and Outlook          
                                                

    
 

S. Wurm, SEMATECH, Albany, NY, USA

 
12:40  28nm node process optimization: A litho centric view (Invited)   
  R. Seltmann, Globalfoundries Dresden, Germany  
13:10 Performance overview of ASML’s NXE platform  
J.-W. van der Horst1, R. Peeters1, S. Lok1,
J. Mallman1, N. Harned1, P. Kuerz2, M. Lowisch2, G. Schiffelers1, A. Pirati1, M. van Noordenburg1, J. Stoeldraijer1, D. Brandt3, N. Farrar3, I. Fomenkov3, H. Boom1, H. Meiling1, R. Kool1
1) ASML Netherlands B.V., Veldhoven, The Netherlands
2) Carl Zeiss SMT AG, Oberkochen, Germany
3) ASML, San Diego, CA, USA
13:40 Lunch

 

         Session 3 – Mask Writing Time Optimization                                           
Session Chairs:
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
H. Levinson, Globalfoundries, Santa Clara, CA, USA

14:40

Reticles write time and the need for speed (Invited)                      

    
 

P. Ackmann, Globalfoundries, Santa Clara, USA

 
15:10  Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic 11nm node generation  
  T. Kamikubo, H. Takekoshi, M. Ogasawara,
H. Yamada, K. Hattori, NuFlare Technology, Inc., Yokohama, Japan
 
15:30 Coffee Break

 

         Session 4 – EUV Lithography                                                        
Session Chairs: 
S. Wurm, SEMATECH, Albany, NY, USA;
N. Davydova, ASML, Veldhoven, The Netherlands

16:00

Imaging performance and challenges of 10nm and 7nm Logic nodes with 0.33 NA EUV                    

    
 

E. Van Setten, G. Schiffelers, D. Oorschot,
N. Davydova, J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands; L. Depre, ASML Brion, Bernin, France; V. Farys, ST Microelectronics, Crolles, France

 
16:20 Scanner Arc Illumination and Impact on EUV Photomasks and Scanner Imaging  
  R. Capelli, A. Garetto, K. Magnusson,
T. Scherübl, Carl Zeiss SMS GmbH, Jena, Germany
 
16:40 High NA Optics – the extension path of EUV Lithography
T. Heil, Carl Zeiss SMT GmbH, Oberkochen, Germany

 

         Session 5 – EUV Mask Technology                                                        
Session Chairs: 
S. Wurm, SEMATECH, Albany, NY, USA;
N. Davydova, ASML, Veldhoven, The Netherlands

17:00

Pellicle for EUV Masks (Invited)                   

    
 

C. Zoldesi1, K. Bal1, B. Blum2, G. Bock1,
D. Brouns1, F. Dhalluin1, N. Dziomkina1,
J. D. A. Espinoza1, J. De Hoogh1, S. Houweling1, M. Jansen1, M. Kamali1, A. Kempa1, R. Kox1,
R. De Kruif1, J. Lima1, Y. Liu1, I. van Mil1,
M. Reijnen1, D. Smith1, B. Verbrugge1, L. de Winter1, Y. Liu1
1) ASML Netherlands B.V., Veldhoven, The Netherlands
2) ASML Wilton, CT, USA

 
17:30 AIMSTM EUV First Light Mask Measurements  
  A. Garetto, K. Magnusson, J. H. Peters,
S. Perlitz, U. Matejka, Carl Zeiss SMS GmbH, Jena, Germany; D. Hellweg, Carl Zeiss SMT GmbH, Oberkochen, Germany; M. Goldstein, Intel Assignee, SEMATECH
 
17:50 High-throughput parallel SPM for EUV mask metrology and defect inspection
R. W. Herfst1, W. E. Crowcombe1, J. Winters1,
T. C. van den Dool1, N. B. Koster1,
H. Sadeghian1,2
1) Netherlands Organization for Scientific Applied Research, TNO, Delft, The Netherlands
2) Delft University of Technology, The Netherlands

 

         6 - News from other Conferences                                                     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

18:10

News from BACUS, September 2014
News from PMJ, April 2015                                                                 

    
18:20

End of Presentations

 
18:50 Meet at the Hilton Lobby  
19:00  Bus Transfer to Albrechtsberg Castle  
22:00 First Bus Transfer back to the Hilton Hotel

 

 Wednesday, June 25th, 2014 

         Session 7 – E-Beam Technologies                                            
Session Chairs:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

09:00 New LEEPL Technology (Invited)                   
 

T. Utsumi, Tokyo, Japan

 
09:40  Multi-stencil character projection e-beam lithography – a fast and flexible way for high quality optical metamaterials   
  U. Hübner1, M. Falkner2, U. D. Zeitner2,3,
M. Banasch4, K. Dietrich2, E.-B. Kley2
1) Leibniz Institute of Photonic Technology (IPHT), Jena, Germany
2) Institute of Applied Physics, Friedrich-Schiller-Universität Jena, Germany
3) Fraunhofer Institute of Applied Optics and Precision Engineering, Jena, Germany
4) Vistec Electron Beam GmbH, Jena, Germany
 
10:00 Integration of E-Beam Direct Write in BEOL processes of 28nm SRAM technology node using Mix & Match  

M. Gutsch1, K.-H. Choi1, N. Hanisch1, C. Hohle1, R. Seidel2,
K. Steidel1, X. Thrun1, T. Werner2
1) Fraunhofer IPMS, Dresden, Germany
2) Globalfoundries Dresden, Germany

10:20 Coffee Break

 

         Session 8 – Templates Technologies                                    
Session Chairs:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

10:50 Nanoimprint Template: Development Status Update (Invited)                                                            
                
    
  N. Hayashi, K. Ichimura, M. Kurihara, Dai Nippon Printing Co., Ltd, Saitama, Japan  
11:20  Replicating micro-optical structures using soft embossing technique  
  X. Shang1, J.-Y. Tan1, J. De Smet1, P. Joshi1,
E. Islamaj1, D. Cuypers1, M. Vervaeke2,
J. Van Erps2, H. Thienpont2, H. De Smet1
1) Ghent University, Belgium
2) Vrije University Brussels, Belgium
 

 

         Session 9 – Simulation                                                          
Session Chairs:
H. Wolf, Photronics, Dresden, Germany;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

11:40 14-nm photomask simulation sensitivity                         
 

J. Sturtevant, E. Tejnil, P. Buck, S. Schulze, Mentor Graphics Corp., Wilsonville, OR, USA;
F. Kalk, K. Nakagawa, Toppan Photomasks Inc., Beaverton, OR, USA; G. Ning, P. Ackman, Globalfoundries, Milpitas, CA, USA; F. Gans,
C. Bürgel, AMTC, Dresden, Germany

 
12:00  Aerial image simulation for partial coherent system with programming development in MATLAB   
  M. Rahman, University of Ulm, Germany;
N. Hasan, National Cheng Kung University, Taiwan
 
12:20 Lunch Break

 

         Session 10 – Metrology                                            
Session Chairs:
K.-D. Röth, KLA-Tencor MIE, Weilburg, Germany;
C. Jähnert, Infineon Technologies AG, Dresden, Germany

13:30 Defect shape reconstruction from high-NA optical projection images     
  D. Xu1,2, T. Fühner2, A. Erdmann1,2
1) Friedrich-Alexander-Universität Erlangen-Nürnberg, Germany
2) Fraunhofer Institute for Integrated Systems and Device Technology IISB, Erlangen, Germany
 
13:50  Photomask CD and LER characterization using Mueller Matrix Spectroscopic Ellipsometry  
  A. Heinrich1, I. Dirnstorfer1, J. Bischoff2,
K. Meiner3, H. Ketelsen3, U. Richter3,
T. Mikolajick1,4
1) NaMLag gGmbH, Dresden, Germany
2) Osires Optical Engineering, Ilmenau, Germany
3) Sentech Instruments GmbH, Berlin, Germany
4) TU Dresden, Germany
 
14:10 Determination of line profiles on pohotomasks using EUV and X-ray scattering
F. Scholze, A. Haase, C. Laubis, V. Soltwisch,
B. Bodermann, J. Endres, Physikalisch-Technische Bundesanstalt (PTB), Berlin, Germany; A. Ullrich, Advanced Mask Technology Center, Dresden, Germany;
S. Burger, JCMwave GmbH, Berlin, Germany

 

        Session 11 – Wafer Processing                             
Session Chairs:
B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;
C. Gale, Applied Materials, Dresden, Germany

14:30 Wafer edge patterning optimization                                           
K. Schumacher, P. Jaschinsky, S. Wilke,
M. Freitag, M. Schmidt, H. Bald, C. Paschold, Globalfoundries Dresden, Germany; M. Noot, ASML B.V. Veldhoven, Netherlands
14:50 

Overlay leaves litho: Impact of none-litho processes on overlay and improvement solutions   

 
M. Ruhm, Globalfoundries, Dresden, Germany
15:10 Lithography with Infrared Illumination Alignment for advanced BiCMOS Backside Processing
P. Kulse, K. Schulz, U. Behrendt, M. Wietstruck, M. Kaynak, S. Marschmeyer, IHP Innovations for High Performance Microelectronics, Frankfurt (Oder), Germany; B. Tillack, IHP Innovations for High Performance Microelectronics, Frankfurt (Oder), Germany, Technische Universität Berlin, Germany
15:30 Coffee Break

 

         Session 12 – DSA Technology and alternative Lithography   
Session Chairs:
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
R. Seltmann, Globalfoundries, Dresden, Germany

16:00 Compact model experimental validation for grapho-expitaxy hole processes and its impact in mask making tolerances                           
  G. Fenger, Mentor Graphics Corporation, Leuven, Belgium; J. A. Torres, Mentor Graphics Corporation, Wilsonville, OR, USA; Y. Ma,
Y. Granik, Mentor Graphics Corporation, Fremont, CA, USA; P. Krasnova, Mentor Graphics Corporation, Moscow, Russia;
A. Fouquet, CEA-LETI, MINATEC, Grenoble, France
 
16:20 Contact hole multiplication using grapho-epitaxy directed self-assembly: process choices, template optimization and placement accuracy     
  J. Bekaert1, R. Gronheid1, V.-K. Murugesan Kuppuswamy1, J. Doise1,2, BT Chan1, G. Vandenberghe1, D. Parnell3, S. Sayan4,
Y. Cao4, Y. Her5
1) imec, Leuven, Belgium
2) Katholieke Universiteit Leuven, Belgium
3) Tokyo Electron Europa, Nijmegen, The Netherlands
4) Intel Corporation, Hillsboro, OR, USA
5) AZ Electronic Materials, Branchburg, NJ, USA
 
16:40 Impact of mask absorber and over-etch on mask 3D induced best focus shift
A. Bouma, J. Miyazaki, M. van Veen, J. Finders, ASML, Veldhoven, The Netherlands
17:00 Challenges and opportunities in applying grapho-epitaxy DSA lithography to metal cut applications

Y. Ma, Mentor Graphics Corporation, Fremont, CA, USA; J. A. Torres, Mentor Graphics Corporation, Wilsonville, OR, USA; G. Fenger, Mentor Graphics Corporation, Leuven, Belgium; J. Ryckaert, G. Vanderberghe, IMEC, Leuven, Belgium

 

         Session 13 – Processes and special Technologies                                  
Session Chairs:
J. Finders, ASML, Veldhoven, The Netherlands;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

17:20 Innovative and water based stripping approach for thick and bulk photoresists                    
  M. Rudolph1, D. Schumann2, X. Thrun1,
A. Hoehne2, S. Esche2, C. Hohle1
1) Fraunhofer Center Nanoelectronic Technologies, Dresden, Germany
2) bubbles & beyond GmbH, Leipzig, Germany
 
17:40  How holistic process control translates into High Mix Logic Fab APC?    
  B. Le Gratiet, M. Gatefait, J. Ducoté, J. Decaunes, A. Lam, B. Beraud,
M. Mikolajczak, A. Pelletier, B. Orlando, F. Sundermann, A. Ostrovsky,
C. Lapeyre, STMicroelectronics, Crolles, France
 
18:00 Farewell
18:10 End of EMLC 2014

Cooperating Partners

Photomask Japan
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.