ICPT 2012 

Program 

If you want to download ICPT's program 2012, please click here.

Please find here the program leaflet including general information for the conference.

  

 

Monday, October  15, 2012

 

07:30 - Registration

08:40 - Opening Remarks

 

09:10

 

Plenary 1: CMOS Technology Evolutions and New Challenges

 

 

Daniel Bensahel – STMicroelectronics, Crolles France

 

Session A -  Front-End Dielectrics               Chairs: Mansour Moinpour and Xin-Ping Qu

 

Invited 1

09:40

Overview of FEOL CMP Processes for 28nm and Beyond

Patrick ONG (IMEC)

10:10

Slurry Selectivity influence on STI and POP processes for RMG application

Catherine Euvrard (1); Cedric Perrot (2) Aurelien Seignard ((1) CEA-LETI-Minatec, France); Florent Dettoni (2); Maurice Rivoire ((2) STMicroelectronics)

10:30  -  Coffee Break , Posters and Exhibition

11:00

CMP process development for high mobility channel materials

Patrick Ong (1); Christophe Gillot (2); Sheik Ansar (2); Bastian Noller(2); Yuzhuo Li ((2) BASF SE); Leonardus Leunissen ((1) IMEC)

11:20

Scratch Reduction by using Nano-colloidal Ceria Slurry with Multi-selectivity of SiO2/Si3N4/Poly-Si Films in STI-CMP

HyunGoo Kang (SK HYNIX), Jeong-Deog Koh, Seung-Woo Han, Jin Won Lee, Byoun-Gki Lee, Seung-Ho Pyi, Byung-Seok Lee and Jin-Woong Kim  SKhynix Semiconductor Inc.);  Brian Reiss, Jae-Deok Jeong, Chul-Woo Nam, Ju-Yeon Jang, Kyo-Se Choi, Jeffrey Dysard, and Daniel Woodland, Cabot Microelectronics Corp

11:40

Performance of a Novel Slurry Injection System on an Ebara FREX200 Polisher for an Silicon Dioxide CMP Application

Leonard Borucki (1); Fabien Durix (2); Yun Zhuang (1); Yasa Sampurno (1); Hans Peters ((2) Ebara Precision Machinery Europe GmbH, Germany); Ara Philipossian ((1)Araca, Inc., USA); Sascha Kreutzer (Vishay Siliconix Itzehoe GmbH,)

Session B  -  Front-End Metals                     Chairs: Paul Feeney and Olaf Kuehn

 

Invited 2

12:00

Challenges in CMP Process for 14nm Logic Technology

Yongsik Moon (GlobalFoundries)

12:30

Buried Tungsten Metal Gate Formation with Chemical Mechanical Polishing Technique and Involved Issues

Kyungho Hwang, Hyuk Kwon, Hyunghwan Kim, Hyosang Kang , (SK Hynix Semiconductor Inc.)

12:50  -  Lunch, Posters and Exhibition

 

14:00

Topography Understanding of Tungsten Chemical Mechanical Polishing for Advanced Technology

Hong Yu; Yongsik Moon; Liu Huang (GlobalFoundries, USA)

 

14:20

Innovative barrier CMP process: benefit of high selective High Selective approach on morphological and electrical performances

Olivier Robin (1) F.Nemouchi (2), E.Charroin ((2)CEA-LETI) , .Hinsinger (1), D. Galpin (1), M. Rivoire ((1) STMicroelectronics)

14:40

Planarization Efficiency of Copper Protrusion

Jie Lin ; Charles Poutasse (Fujimi Corporation)

Session Posters Presentation 1                    Chairs: Viorel Balan and Knut Gottfried

 

15:00

P.1.1

Direct Polish STI HSS CMP with Improved Planarity and Defect Performance

Anand Iyer ; Tianyu; Thomas; Jie Diao; Chris Lee; Garlen; Thomas Osterheld (Applied Materials, Inc)

15:03

P.1.2

Chemical Mechanical Planarization (CMP) In-Situ pad groove monitor through Fault Detection and Classification (FDC) system

Silvio Del Monaco (1); Frederic Calderone

(1); Malika Fritah (1); Thomas Le Tiec (ESIPE Marne la Vallée, France); Anne Laurent ((1) STMicroelectronics)

15:06

P.1.3

Global Thickness Measurement System for Metal Layer on Wafer

Qiang Yu; Dewen Zhao; Hongkai Li; Zilian Qu; Qian Zhao; Xinchun Lu; Yonggang Meng (Tsinghua University, Beijing)

15:09

P.1.4

Haze used as wafer, die and local indirect characterization technique for advanced CMP processes on patterned wafers

Florent Dettoni (1); Carlos Beitia (2); Catherine Euvrard (2); Yves Morand (1); Sébastien Gaillard (1); Olivier Hinsinger (1); François Bertin ((2) CEA LETI); M. Rivoire (ST)

15:12

P.1.5

Feature analysis and simulation of 670 nm laser optical endpoint traces in tungsten CMP

Giovanni Mazzone (1) Giuseppe Bano ((2) STMicrolectronics, Davide M. Gianni (1), Luca Castelletti (1) i1, Silvia Borsari ((1) Micron, Italy)

15:15

P.1.6

Cu Layer thickness monitoring in CMP process by using eddy current sensor

Zilian Qu; Qian Zhao; Qiang Yu; Dewen Zhao; Hongkai Li; Xinchun Lu; Yonggang Meng(Tsinghua University, Beijing)

15:18

P.1.7

 STI CMP stop in Silicon Nitride controlled by FullVision™ endpoint

Cedric Perrot (1); Frederic Pitard (2); Sean Cui; Gary Lam (Applied Material); Sylvie Del Medico (1); Sébastien Gaillard (1); Olivier Hinsinger (STMicroelectronics)

15:21

P.1.8

In Situ Profile Control with Titan Edge™ Heads for Dielectric Planarization of Advanced CMOS Devices

Sivakumar Dhandapani; Jun Qian; Benjamin J Cherian; Gregory Menk; Charles Garretson; Harry Lee; Doyle Bennett; Thomas Osterheld (Applied Materials, Inc)

15:24

P.1.9

Reduction of edge exclusion by EPC ring in CMP process

Yeongbong Park (1), Youngkyun Lee  ((1) Pusan national Univ.); njong Yuh (2), Haedo Jeong  ((2) G&P Technology)

15:27

P.1.10

Development of linear roll CMP system for large area micropatterns

Seongsoo Kim, Jiyoon Kim, Hobin Jeong, eHaedo Jeong (Pusan National University)

15:30

P.1.11

Smart pad dressing for double side polishing

Joern Kanzow; Sascha Werth; Georg Moersch (Peter Wolters GmbH)

 

15:33

P.1.12

Effect of process parameter on particle removal efficiency in brush scrubber cleaning

Hegeng Mei; Xinchun Lu; Jie Wang (Tsinghua University)

15:36

P.1.13

Particle Reduction in W-CMP Process through Optimizing Post Cleaner

Namyun Kim, Kuen Byul Kim, Young Seok Jang, Jae Chang Lee, Jin Suk Hong, Kye Hyun Baek, Hee Seok Kim And Han Ku Cho  (Samsung Electronics)

15:39

P.1.14

The Synergetic Effect of Polishing Debris Cleaning in Real-time

Hojoong Kim (1);  Mingu Kim; Hongyi Qin (1);  Ji Chul Yang (2); Donghyun Lim (SAMSUNG Electronics, Korea); Hoomi Choi (1); Taesung Kim ((1) Sungkyunkwan University)

15:42

P.1.15

Development of new copper post-CMP cleaning solutions that allow direct bonding

Aziz Ouerd (1) ; Hervé Dulphy ((1) Air

Liquide Electronics Systems); Vincent Lelièvre (Air Liquide Balazs); Lea Di Cioccio (CEA-Leti); Maurice Rivoire (STMicroelectronics)

15:45

P.1.16

Post-CMP Cleaning Formulations Compatible With Advanced

Interconnects

Paul Bernatis; Akira Kuroda; Atsushi Otake; Cass Shang; Fadi Coder; Volley Wang (DuPont)

15:48

P.1.17

Study of Frictional Forces Generated by Novel Post-CMP Clean Brush Nodule Geometries During pCMP Cleaning

BradleyWood, Chintan Patel and Paul Magoon (ENTEGRIS & Entegris Inc.

Coffee Break, Poster and Exhibition

 

Session C -  Equipment, Endpoint and Control     Chairs: Hyngjae Kim and Arthur Chen

 

16:45

Interferometry: a direct die level characterization technique

Florent Dettoni (1); Carlos Beitia (2); Yves Morand (1); Catherine Euvrard (2); Viorel Balan (2); Jonathan Peak (3); Timothy Johnson ((3) Nanometrics; Sébastien Gaillard (1); Olivier Hinsinger (1); François Bertin ((2) CEA-LETI); Maurice Rivoire ((1) STMicroelectronics)

17:05

FullVision™ Endpoint for CMP of SiGe Fin Structures

Gregory Menk; Sivakumar Dhandapani; Yi-Chiau Huang; Bingxi Wood; Jun Qian; Benjamin J Cherian; Charles Garretson; Thomas Osterheld (Applied Materials, Inc)

17:25

CMP Defect Monitoring in HKMG Loop on Monitor Wafers

Chandar Prasad Palamadai Subramanian; Prasanna Sapre; Timothy Chang; Tony Wang; Eros Huang (KLA-Tencor Corporation, Taiwan); Debbie Hu ((1) KLA-Tencor Corporation); Ren Peng (2); Welch Lin (2); Chun Wei Hsu (2); Duckblood Hsieh (United Microelectronics Corporation)

17:45

Improvements in Profile Control using ISPC during the stop-in-oxide CMP step in the RMG Process Flow on IBM 20nm Short-Loop Wafers

Paul Komarenko (1); Jun Qian (1); Joe Salfelder ((1) Applied Materials, USA); Dimitri Levedakis (2); LaertisEconomikos ((2) IBM)

18:05 Transfer by Tram & Bubbles to  Banquet “à la Bastille”

 

 

Tuesday, October  16, 2012

 

08:20 - Opening Remarks

 

08 :30

 

Plenary 2: Disruptive Planar & 3D Solutions for Energy Efficiency

 

Laurent Malier, CEA-LETI Minatec, Grenoble, France

Session D -  3D, TSV & MEMS (1)                   Chairs: Xinchu Lu and Akira Isobe

 

09:00

CMP Process Optimization for Bonding Applications

Viorel Balan (1); Aurelien Seignard (1); Daniel Scevola (2); Jean-François Lugand (2); Lea Di Cioccio ((1) CEA-DRT/LETI); Maurice Rivoire ((2) STMicroelectronics)

09:20

Process Optimization of Grinding and CMP for Thinning of Si

Paul Feeney (Axus Technology)

09:40

Influence of different anneal processes on copper surfaces pre- and post-CMP

Dobritz; Juergen Grafe; Holger Wachsmuth; Irene Bartusseck; Juergen Wolf (Fraunhofer Institute)

10:00

TSV CMP Process Development and Pitting Defect Reduction

Xu Jinhai (Semiconductor Manufacturing International Corporation & SMIC)

10:20  -  Coffee Break, Posters and Exhibition

 

10:50

Advances in CMP for TSV Reveal

Robert L. Rhoades (Entrepix, Inc); Dean Malta (RTI, Inc., USA)

11:10

Application of an Abrasive-Free Cu Slurry for MEMS Devices

Benjamin Steible; Michael Stoldt; Michael Tack; Gerfried Zwicker (Fraunhofer ISIT)

Session E - Consumables Metrology      Chairs: Syushei Kurokawa and Hitoshi Morinaga

 

Invited 3

11:30

Relationship between Spatial Wavelength Pad Surface Profile and Pattern Step-height Reduction with 28nm Ceria Particle Slurry (Invited)

Jinok Moon (Samsung Electronics. Co., Ltd. & Hanyang University); Jae-Young Bae (2); Kwang-Ho Oh (2); Ungyu Paik((2) WCU Department of Energy Engineering)

12:00

Challenges of CMP consumables metrology

Alex Tregub (Intel Corporation)

 

12:20

Identification of nonlinear viscoelasticity of polishing pad using an onmachine compression tester

Norikazu Suzuki; Masakazu Asaba; Yohei Hashimoto; Eiji Shamoto (Nagoya University)

12:40 -  Lunch, Posters and Exhibition

 

Session F -  Fundamentals (1)                       Chairs: Jin-Goo Park and Youngsik Moon

 

Invited 4 14:00

Additive/Abrasive Interactions in Solution: Investigation of the Surface Chemistry and Adsorption Behavior of CMP Abrasives (Invited)

Mansour Moinpour (INTEL Corp.); Edward Remsen; Ashley England (Bradley University); Ashwani Rawat (Intel Corp.)

14:30

Experimental Studies on Interfacial Fluid Lubrication and Wafer Status during Chemical Mechanical Polishing of 12-inch Wafer

Dewen Zhao; Tongqing Wang; Yongyong He;

Xinchun Lu (Tsinghua University)

14:50

Effect of Slurry Chemistry on W CMP Performance

Mincheol Kang, Jongmin Park, Taeyeon Jung, Hyungsoon Park, Hyunghwan Kim, Hyosang Kang (SK Hynix Inc)

Session Posters Presentation 2                    Chairs: Patrick Ong and Eric Jacquinot

 

15:10

P.2.1

Microreplicated Pad Conditioner for Copper Barrier CMP Applications

John Zabasajja; Duy Le-huu; ChuckGould (3M Company)

15:13

P.2.2

CVD Diamond-Coated CMP Polishing Pad Conditioner With Asperity Height Variation

Joo Choi; Joo Hoon Choi1, Yong Bin Lee1, Byung Ki Kim; Shin Kyung Kim (SHINHAN DAIMOND IND.Co,Ltd)

15:16

P.2.3

Chemical Mechanical Polishing Slurry for Aluminum Substrate

Liangyong Wang (Chinese Academy of Sciences)

 

15:19

P.2.4

Surface adsorption mechanism of water-soluble polymer in polishing slurry

Kohsuke Tsuchiya; Shuhei Takahashi, Megumi Kubo, Hitoshi Morinaga (Fujimi Inc.)

15:22

P.2.5

Oxide Rate and Selectivity as a Function of Pad Chemistry

Peter Renteln (InnoPad Company)

 

15:25

P.2.6

Slurry Development for Copper/Barrier CMP

Baoguo Zhang ; Yuling Liu (Hebei University of Technology, P.R. China)

15:28

P.2.7

CMP Evaluation of Reusable Polishing Pads using Auxiliary Plate

Nicolas Daventure (Applied Materials, France); Silvio Del Monaco (1); Tatsutoshi Suzuki (Toho Engineering Co, Ltd, Japan); Viorel Balan (CEA/Leti); Maurice Rivoire ((1) STMicroelectronics)

15:31

P.2.8

Role of Abrasive Type and Media Surface Energy on Nanoparticle Adsorption

Jordan Kaiser (1); Patrick Connor (2); Patrick Levy ((2) Pall Microelectronics); Jason Keleher ((1) Lewis University, USA)

15:34

P.2.9

The Synthesis of PS-inorganic Oxide Nanoparticle for CMP Slurry

Hongyi Qin; Hojoong Kim;  Hoomi Choi; Mingu Kim; Taesung Kim (Sungkyunkwan University, Korea)

15:37

P.2.10

The study of POU filters performance and life-time in the CMP slurry supply system

Sunjae Jang (1); Taesung Kim (1); Hojoong Kim ((1) Sungkyunkwan University, Korea); Donghyun Lim (2); Miyeon Nam (Woongjin Chemical, Korea); Ji Chul Yang ((2) SAMSUNG Electronics)

15:40

P.2.11

Adsorption Mechanism of Benzotriazole on Copper Surface in CMP Based Slurries Containing Peroxide and Glycine

Jing Li ; Xinchun Lu; Junyu Ou; Jie Cheng (Tsinghua University)

15:43

P.2.12

The Study to Minimize the Variation of Polishing Time According to thePad Used Time

Ji Chul Yang; Won Moon Jang (Samsung

Electronics)

15:46

P.2.13

Determination of adhesion force of particles on substrate surface using atomic force microscopy

Woonki Shin , Joonho An , Hojun Lee , Jiyoon Kim  and Haedo Jeong (Pusan National University & GnP Technology)

15:49

P.2.14

Investigation on Analysis and Design of Pad Conditioning Process in Double Side Polishing

Sangjik Lee (1); Hyoungjae Kim ((1) Korea Institute of Industrial Technology, Korea); Hyunseop Lee (2) ; Haedo Jeong ((2) Pusan National University & GnP Technology)

15:52

P.2.15

A Novel Evaluation Method of Polishing Slurry Flow Using Digital Image Processing - Mechanical Polishing for Sapphire using Diamond Slurry -

Michio Uneda (1), Yuya FUKUTA ()); Kazutoshi HOTTA (3), Hiroyasu SUGIYAMA(3), Hitoshi MORINAGA ((3) Fujimi Incorporated) ,  Ken-ichi ISHIKAWA ((1)Kanazawa Institute of Technology)

15:55

P.2.16

Research on the controlling technology of deliquescent action in polishing of KDP crystals based on deliquescent action

Shaolong Guo (Tsinghua University, P.R. China); Feihu Zhang (1); Yong Zhang ((1) Harbin Institute of Technology, P.R. China)

15:58

P.2.17

Processing Properties of Strong Oxidizing Slurry and Effect of Processing Atmosphere in SiC CMP

Tao Yin; Toshiro Doi, Syuhei Kurokawa, Osamu Ohnishi, Tsutomu Yamazaki, Zhida Wang, and Zhe Tan (Kyushu University, Fukuoka, Japan)

16:01

P.2.18

A Study on the Damaged Layer Characteristic of Initial Wafer by using Chemical-Mechanical Polishing

ChulJin Park (1); Minhyon Jeon (Inje University, Korea); Sangjik Lee (1); Taekyung Lee (1); Hyoungjae Kim (1); Doyeon Kim ((1) Korea Institute of Industrial Technology)

Coffee Break, Poster and Exhibition

 

Session F  -  Fundamentals (2)                      Chairs: Jin-Go Park and Yongsik Moon

 

 

Invited 5

16:55

Study on fine particles behavior in slurry flow between wafer and polishing pad as a material removal process in CMP (Invited)

Keiichi Kimura; Keisuke Suzuki, Panart Khajornrungruang, (Kyushu Institute of Technology); Yoshikazu Idei (Ebara Corp.)

17:25

Slurry Particle Agglomeration Experimentation & Modeling for Chemical Mechanical Planarization (CMP)

Joy Johnson, D. Boning  (Massachusetts Institute of Technology), G. S. Kim, K. Knutson, P.Safier  Intel Corporation

17:45

Tribological, Thermal, and Kinetic Attributes of 300 vs. 450 mm Chemical Mechanical Planarization Processes

Yubo Jiao (1); Xiaoyan Liao (1); Changhong Wu (1); Yun Zhuang (2); Siannie Theng (2); Yasa; Sampurno ((2) Araca, Inc., USA); Michael Michael Goldstein (Intel Corp.); Ara Philipossian ((1) University of Arizona)

18:30 - Wine, Cheese & Cooking Meat Tasting

 

 

Wednesday, October  17, 2012

 

Session G  -  New CMP Applications              Chairs: Tae-Sung Kim and Keiichi Kimura

 

Invited 6

08 :30

Chemical mechanical polishing for Co and Mo Based Metal Film (Invited)

Xin-Ping Qu (Fudan University, P.R. China)

09:00

Development of chemical mechanical polishing process for carbon nanotube interconnects on 300 mm wafer

Ban Ito,  Daisuke Nishide, Takashi Matsumoto, Masayuki Katagiri, Tatsuro Saito, Makoto Wada, Masahito Watanabe, Naoshi Sakuma, Akihiro Kajita, Tadashi Sakai (Low-power Electronics Association & Project (LEAP), Japan)

09:20

Chemical-Mechanical Planarization ofAluminum Damascene Structures

Ulrich Künzelmann (1); Marcel Müller (2)); Klaus Kallis ((1) University of Dortmund) ; Fabian Schütte ((2) IMS -TU Dortmund); Ihor Petrov (WNE, Ruhr Universität Bochum); Jack Fong (3); Chih-An Lin (3) Jeffrey Dysard ((3) Cabot Microelectronics); Johann Wolfgang Bartha ((1) Dresden University); Joachim Knoch IHT, RWTH Aachen)

09:40

The effect of H2O2 and Ammonia sulfate on the CMP of Molybdenum

Fei Chen; Jing-Bo Xu; Hai-Sheng Lu; Xu Zeng; Xin-Ping Qu (Fudan University, P.R. China)

10:00

Study on Polishing Properties for Phase Change Memory

Wonjun Lee, Wonjun Lee, Jinwoo Bae, Seungho Park, Jae-Dong Lee, Inseok Hwang, Seok-Woo Nam (Samsung Electronics Co., Ltd., Korea)

10:20

 

Biomedical Applications of CMP

 

G. Bahar Basim; Zeynep Ozdemir; Ozal Mutlu (Ozyegin University, Turkey)

10:40 - Coffee break

 

Session H   -   Consumables                             Chairs: Robert Rhoades and Jinock Moon

 

11:10

Correlation of Polishing Pad Property and Pad debris on Scratch Formation during CMP

Tae-Young Kwon; Byoung-Jun Cho;  Prasanna Venkatesh; Jin-Goo Park (Hanyang University, Korea)

11:30

Development of Innovative Tunable Polishing Formulations for Chemical Mechanical Planarization of Silicon Nitride, Silicon Carbide, and Silicon Oxide

Jim Schlueter, Savka Stoeva, Maitland Graham, & Tom Shi (DA Nanomaterials)

11:50

Low Surface Roughness Epic™ D2xx soft pads for CMP Applications

Jay Nair;J.Page; G.Gaudet (Cabot Microelectronics USA) ;  Gilles Bigoin (Cabot microelectronics France)

12:10

Evaluation of Glass Lapping Using Fixed Abrasive Pad

Hyuk-Min Kim; Ramachandran Manivannan; Deog-Ju Moon; Tae-Young Kwon; Jin-Hyeong Noh; Jin-Goo Park (Hanyang University,)

12:30 - Closing Remarks

12:45  -  Lunch bag

 

13:30

Event 1:  Grenoble old center: visit with guild (~2h00)

 

13:30

Event 2: CEA-LETI show room (~2h00)

 

 

 Partners

 
 
Footer Imprint Contact Print page Send page
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.