InSite

Login

Notes

Skip Navigation LinksEMLC 2010 Conference Program

EMLC 2010  

Conference Program 

Schedule of the Presentations

Jump to monday schedule.

Jump to tuesday schedule.

Jump to wednesday schedule.

 

Monday, January 18th, 2010

 

12:30 Check in / Registration

13:30 Welcome and Introduction
U. Behringer, UBC Microelectronics,
Ammerbuch, Germany, Conference Chair

13:45 Invited Welcome Speaker
G. Fioraso, Deputy Mayor in charge of Economical
Development, Grenoble, France

 

Session 1 - Plenary Session I

Session Chairs:
W. Maurer, Infineon Technologies AG, Munich, Germany;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

14:15  Keynote 1: Invited
Silicon Ecosystems in Europe: the Key to Competitiveness
G. Matheron, Site Manager, Crolles France; STMicroelectronics, Grenoble, France

15:00 Mask Industry Assessment Trend Analysis: 2010 (invited)
G. Hughes, H. Yun* SEMATECH, Albany, USA;
* Intel Assignee

 

15:30 Coffee Break

 

Session 2 - Plenary Session II

Session Chairs:
W. Maurer, Infineon Technologies AG, Munich, Germany;
J. Waelpoel, ASML Netherlands B.V., Veldhoven, The Netherlands

16:00 Keynote 2: Invited
The Semiconductor Foundry Transition and its Impact to the Mask Industry
U. Nothelfer, Vice President and General Manager, Fab 1, GLOBALFOUNDRIES, Dresden, Germany

16:45 Best Paper of PMJ 2009 (invited)
Actinic EUVL Mask Blank Inspection and Phase Defect Characterization

T. Yamane (a), T. Iwasaki (a), T. Tanaka (a),
T. Terasawa (a), O. Suga (a), T. Tomie (b)
(a) MIRAI-Semiconductor Leading Edge Technologies (Selete) Inc., Ibaraki, Japan
(b) National Institute of Advanced Industrial Science and Technology, Tokyo, Japan

17:15 Best Poster of BACUS 2009 (invited)
Aerial Plane Inspection for Advanced Photomask Defect Detection

W. S. Kim, J. H. Park, D. H. Chung, C. U. Jeon, H. K. Cho, Samsung Electronics Co. Ltd., Hwasung-City, Korea;
T. Hutchinson, KLA-Tencor Corporation, Austin, TX, USA;
O. Lee, KLA-Tencor Corporation, Gyeonggi-do, South Korea;
N. Schmidt, KLA-Tencor Corporation, Dresden, Germany;
X. Li, W. Huang, A. Dayal, KLA-Tencor Corporation, San José, CA, USA

 

 

Tuesday, January 19th, 2010

 

Session 3 – EUV 1

Session Chairs:
N. Hayashi, DNP, Saitama, Japan;
S. Wurm, SEMATECH, Albany, NY, USA

09:00 Progress and Challenges in EUV (invited)
C. Krautschik, Intel Corp. Santa Clara, CA, USA

09:30 Impact of Mask Absorber on EUV Imaging Performance
E. van Setten, C. W. Man, R. Murillo, S. Lok, K. van Ingen Schenau, K. Feenstra, H. Meiling, C. Wagner, ASML Netherlands B.V., Veldhoven, The Netherlands

09:50 Overview of IP Error Compensation Techniques for EUVL
P. Vukkadala, D. Patil, R. L. Engelstad, University of Wisconsin-Madison, UW Computational Mechanics Center, Madison, WI, USA

10:10 Contributions to EUV Mask Metrology Infrastructure
A. Farahzadi, M. Benk, R. Lebert, AIXUV GmbH, Aachen, Germany;
L. Juschkin, S. Herbert, A. Maryasov, RWTH Aachen University, Germany

 

10:30 Coffee Break

 

Session 4 – ML2 1

Session Chairs:
S. Tedesco, CEA/Léti, Grenoble, France
H. Löschner, IMS Nanofabrication AG, Vienna, Austria

11:00 Readiness of Multiple E-Beam Maskless Lithography
J. H. Chen, W. C. Wang, F. Krecinic, S. J. Lin, B. J. Lin, Taiwan Semiconductor Manufacturing Co. Ltd., Hsinchu, Taiwan;
B. Schipper, C. van den Berg, B. J. Kampherbeek, G. de Boer, M. Wieland, Mapper Lithography B.V., Delft, The Netherlands

11:20 Multi Shaped Beam Data Prep
U. Weidenmüller, H.-J. Doering, D. Melzer, Vistec Electron Beam GmbH, Jena, Germany

11:40 Complexity of Data Preparation and Proximity Effects Corrections in ebeam Maskless Lithography
S. Manakli (1), P. Jaschinsky (2), K. H. Choi (2), P. Veltman (3), C. Klein (4), M. Irmscher (5), M. Schulz(6), L. Bomholt (6), J. Bustons (7), L. Pain(1)
(1) CEA/Léti, Grenoble, France
(2) Fraunhofer Center Nano-Elektronische Technologien, Dresden, Germany
(3) MAPPER Lithography B.V., Delft, The Netherlands
(4) IMS Nanofabrication, Wien, Austria
(5) IMS Chips, Stuttgart, Germany
(6) Synopsys GmbH, Munich, Germany
(7) STMicroelectronics, Crolles, France

12:00 Checkerboard Pattern for PSF Parameter Determination of Electron Scattering in E-Beam Lithography
M. Gutsch, K.-H. Choi, M. Freitag, M. Hauptmann, C. Hohle, P. Jaschinsky, K. Keil, Fraunhofer Center Nano-Elektronische Technologien, Dresden, Germany

 

12:20 Lunch

 

Session 5 – Metrology

Session Chairs:
E. Rausa, Plasma-Therm LLC, St. Petersburg, Fl, USA;
H. Bosse, Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

13:30 Update on Next Generation Registration Metrology Tool for DPT Teticles
K.-D. Roeth, J. Bender, G. Schlueter, F. Laske, D. Adam, KLA Tencor MIE GmbH, Weilburg, Germany

13:50 CD Forecasting in Resist by means of Scatterometry
J. Richter, Advanced Mask Technology Center GmbH & Co KG, Dresden, Germany

14:10 A 193nm Microscope for CD Metrology for the 32nm Node and beyond
B. Bodermann, Z. Li, F. Pilarski, D. Bergmann Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

 

Session 6 – Data-Prep., Simulation & RET 1

Session Chair:
J. Whittey, KLA-Tencor, Oakdale, CA, USA

14:30 Deployment of OASIS in the Semiconductor Industry – Status, Dependencies and Outlook
J. Davis, S. Schulze, S. Fu, Mentor Graphics Corporation, Wilsonville, OR, USA

14:50 Rigorous EMF Simulation of Absorber Shape Variations and their Impact on the Lithographic Process
Z. Rahimi (1), A. Erdmann (2), C. Pflaum (3) and P. Evanschitzky (4)
(1), (2), (4) Fraunhofer Institute of Integrated Systems and Device Technology Erlangen Germany;
(1) Graduate School in Advanced Optical Technologies (SAOT), Erlangen, Germany;
(3) Department of Computer Science, Erlangen-Nuremberg University, Germany

15:10 Efficient Simulation of three dimensional EUV Masks for rigorous Source Mask Optimization and Mask Induced Imaging Artifact Analysis
P. Evanschitzky, T. Fühner, F. Shao, A. Erdmann, Fraunhofer Institute of Integrated
Systems and Device Technology, Erlangen, Germany

 

15:30 Coffee Break

 

Session 7 – Data-Prep., Simulation & RET 2

Session Chair:
W. Maurer, Infineon Technologies AG, Munich, Germany

16:00 Proximity Effect Correction Sensitivity Analysis
A. Zepka, Synopsis Inc., Mountain View, CA, USA;
R. Zimmermann, Synopsys GmbH, Aschheim/Dornach, Germany

16:20 Modified Dose Correction Strategy for better Pattern Contrast
R. Galler (a), D. Melzer (a), M. Boettcher (b), M. Krueger (a), M. Suelzle (a), C. Wagner (a)
(a) EQUIcon Software GmbH Jena, Germany,
(b) Vistec Electron Beam GmbH Jena, Germany

 

Session 8 – Resist, Repair & Cleaning

Session Chair:
W. Montgomery, SEMATECH, Albany, NY, USA

16:40 193nm Resist Deprotection Study from Outgassing Measurements by TD-GCMS
R. Tiron (a), H. Fontaine (a), S. Cetre (a), S. Derrough (a), D. Perret (b), J. W. Thackeray (c)
(a) CEA/Léti, Grenoble, France;
(b) Dow Electronic Materials, Grenoble, France;
(c) Dow Electronic Materials, Marlborough,USA

17:00 Increasing Mask Yield through Repair Yield Enhancement Utilizing the MeRiT A. Garetto (1), J. Oster (2), M. Waiblinger (3), K. Edinger (2)
(1) Carl Zeiss SMT Inc., Peabody, MA, USA;
(2) NaWoTec GmbH, Roßdorf, Germany;
(3) Carl Zeiss SMS GmbH, Jena, Germany

17:20 Study of the Molecular Contaminants Deposition on Cr, MoSi and SiO2 Surfaces Representative of Photomasks Layers
H. Fontaine, S. Cetre, G. Demenet, F. Piallat, CEA/Léti, Grenoble, France

17:40 Mask Cleaning Process Evaluation and Modeling
P. Nesladek, Advanced Mask Technology Center, Dresden, Germany;
S. Osborne, Sigmameltec Ltd, Asao-ku Kawasaki, Japan

 

19:00 Departure from the NOVOTEL for dinner at Château Sassenage
Meeting-point: Lobby of the Novotel at 18:50

19:30 Banquet at Château Sassenage

 

 

Wednesday, January 20th, 2010

 

Session 9 – Application

Session Chair:
M. Tissier, Toppan Photomasks, Rousset, France

09:00 Mask Lithography for Display Manufacturing (invited)
T. Sandstrom, P. Ekberg, Micronic Laser Systems, Sweden

09:30 Deep-UV KrF Lithography for the Fabrication of Bragg Gratings on SOI Rib Waveguides
J. Bauer (1), D. Stolarek (1), L. Zimmermann (1), I. Giuntoni (2), U. Haak (1), H. Richter (1), S. Marschmeyer (1), A. Gajda (2), J. Bruns (2), K. Petermann (2), B. Tillack (1)
(1) Innovations for High Performance Microelectronics IHP, Frankfurt/Oder, Germany;
(2) Technische Universität Berlin, Germany

09:50  Mask Phase and Transmission Variations Effects on Wafer critical Dimensions for Nodes 65nm and 45nm
F. Dufaye (a), S. Gough (a), F. Sundermann (a), V. Farys (a), H. Miyashita (b), L.Sartelli (b), F. Perissinotti (b), U.Buttgereit (c), S.Perlitz (c), R. Birkner (c)
(a) STMicroelectronics, Crolles, France;
(b) Dai Nippon Photomasks Europe, Agrate Brianza, Italy ;
(c) Carl Zeiss SMS GmbH, Jena, Germany

 

Session 10 – EUV 2

Session Chair:
R. Engelstad, University of Wisconsin, Madison, WI, USA

10:10 The Study on the Real Defect on EUV Blankmask and Strategy of EUV Mask
Inspection

S. Huh (1), A. Rastegar (1), F. Goodwin (1), S. Wurm (1), K. Goldberg (2), I. Mochi (2), T. Nakajima (3), M. Kishimoto (3), M. Komakine (3)
(1) SEMATECH, Albany, NY, USA
(2) Lawrence Berkeley National Laboratory, Berkeley, CA, USA
(3) AGC Electronics America, Albany NY, USA

10:30 Defects Inspection with an EUV Microscope
S. Herbert, A. Maryasov, L. Juschkin, Rheinisch-Westfälische Technische Hochschule Aachen and JARA – Fundamentals of Future Information Technology, Aachen, Germany;
R. Lebert, AIXUV GmbH, Aachen, Germany; K. Bergmann, Fraunhofer Institute for Laser Technology, Aachen, Germany

10:50 E-Beam induced EUV Photomask Repair – a perfect Match
M. Waiblinger (a), K. Kornilov (b), T. Hofmann (b), K. Edinger (b)
(a) Carl Zeiss SMS GmbH, Jena, Germany
(b) NaWoTec GmbH, Rossdorf, Germany

 

11:10 Coffee Break

 

Session 11 – ML2 2

Session Chair:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany

11:40 Projection mask-less Lithography and Nanopatterning with Electron and Ion Multi-Beams
C. Klein, E. Platzgummer, H. Löschner, IMS Nanofabrication AG, Vienna, Austria

12:00 MAPPER: high-throughput Maskless lithography
B. Kampherbeek, M. Wieland, G. de Boer, G. ten Berge, M. van Kervinck, R. Jager, J. Peijster, E. Slot, S. Steenbrink, Tijs Teepen MAPPER Lithography, Delft, The Netherlands
12:20 Low Voltage Resist Process developed for MAPPER tool first Exposures
D. Rio (a), C. Constancias (a), J. van Nieuwstadt (b), J. Vijverberg (b), L. Siegert (a), S. Derrough (a), B. Icard (a), L. Pain (a)
(a) CEA/Léti, Grenoble, France
(b) MAPPER Lithography B.V., Delft, The Netherlands

 

12:40 Lunch

 

Session 12 – NIL 16:15 Departure of bus for Guided Clean Room Tour

Session Chairs:
H. Scheer, University Wuppertal, Germany
S. Tedesco, CEA/Léti, Grenoble, France

13:50 Masters for Substrate Conformal Imprint Lithography (SCIL) generated by Charged Particle Nanopatterning (CHARPAN) Techniques (invited)
F. van Delft, R. van de Laar, M. Verschuuren, Philips Research, Eindhoven, The Netherlands;
E. Platzgummer, H. Löschner, IMS Nanofabrication AG, Vienna, Austria

14:20 Laser Direct Write at _/130 for Templates Used in Nanoimprint Lithography E. Pavel (a), E. Rotiu (b), L. Ionescu (b), C. Mazilu (b), G. Iacobescu (c)
(a) Storex Technologies, Bucharest, Romania
(b) National Glass Institute, Bucharest, Romania
(c) University of Craiova, Romania

14:40 Positive or negative Tone Resist for a T-NIL / UVL Hybrid Technique
S. Möllenbeck, N. Bogdanski, A. Mayer, M. Wissen, H.-C. Scheer, University of
Wuppertal, Germany

15:00 Antisticking Layers on Antireflective Chromium for Hybrid (CNP) Nanoimprint Moulds
R. Kirchner (1) (2), B. Adolphi (1), R. Landgraf (1) (2), W.-J. Fischer (1) (2)
(1) Dresden University of Technology, Germany
(2) Fraunhofer-Institute for Photonic Microsystems, Dresden, Germany

15:20 Simulation Study on Bubble Trapping into Templates in UV Nanoimprint Lithography
Y. Nagaoka (a) (c), H. Hiroshimab (c), Y. Hiraia (c)
(a) Physics and Electronics Engineering, Osaka. Prefecture University, Sakai, Japan
(b) National Institute of Advanced Industrial Science and Technology, Tsukuba, Japan
(c) CREST-JST, Kawaguchi, Saitama, Japan

 

15:40 Farewell

15:50 Coffee Break at Crolles (ST Microelectronics)

1:30 Guided Clean Room Tour at CEA/Léti, on site

19:00 End of the Conference

 

 

 Cooperating Partner

Cea leti
Grenoble
Aepi Grenoble Isere

 Sponsoring Societies

Semiconductor Equipment and Materials International
SPIE
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.