InSite

Login

Notes

Skip Navigation LinksEMLC 2011 Conference Program

EMLC 2011 

Conference Program 

Schedule of the Presentations

Jump to tuesday schedule.

Jump to wednesday schedule.

 

 

Tuesday, January 18th, 2011

08:30 Welcome and Introduction

U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair

08:45 Invited Welcome Speaker

D. Hilbert, Mayor of Economic Affairs of the City of Dresden

 

Session 1 - Plenary Session I

Session Chairs:

R. Seltmann, Globalfoundries, Dresden, Germany; J. Waelpoel, ASML, Veldhoven, The Netherlands

09:15 Keynote 1:

The Photomask Technology Roadmap: Hydra or Hyperbole? (invited)

C. Progler, Photronics Inc., Brookfield, USA

09:55 Keynote 2:

The Metamorphosis of a Mask Shop – How Foundry Requirements Change Mask Making (invited)

T. Schmidt, AMTC, Dresden, Germany

10:35 Coffee Break

 

Session 2 - Plenary Session II

Session Chairs:

C. Gale, Applied Materials, Dresden, Germany N. Hayashi, Dai Nippon Printing, Saitama Japan

11:05 Keynote 3:

Advanced Lithography: More than Rayleigh (invited)

B. Koek, ASML, Veldhoven, The Netherlands

11:45 Mask Industry Assessment Trend Analysis: 2011(invited)

D. Chan, G. Hughes, SEMATECH, Albany, NY, USA

12:15 Lunch Break

 

Session 3 - E-Beam Patterning

Session Chairs:

I. Stolberg, Vistec Electro Beam, Jena, Gernany; H. Wolf, Photronics MZD, Dresden, Germany 

13:20 Best Paper of PMJ 2010 (invited)

Evaluation of a Next Generation EB Mask Writer for hp 32nm Lithography

K. Kono, R. Yamamoto, N. Nishida, Hoya Corporation, Tokyo, Japan; T. Komagata, T. Hasegawa, K. Goto, Y. Nakagawa, Jeol Ltd., Tokyo, Japan

13:50 Best Poster of BACUS 2010 (invited) Mask Process Correction (MPC) Modeling and its Application to EUV Mask for Electron Beam Mask Writer, EBM-7000

T. Kamikubo, T. Ohnishi, S. Hara, H. Anze, Y. Hattori, S. Tamamushi, NuFlare Technology, Yokohama, Japan; S. Bai, J.-S. Wang, R. Howell, G. Chen, J. Li, J. Tao, J. Wiley, Brion Technologies, Inc., Santa Clara, CA, USA; T. Kurosawa, Y. Saito, T. Takigawa, Brion Technologies KK (Japan), Tokyo, Japan

14:20 Multiresolution Mask Writing

E. Sahouria, Mentor Graphics Corp., San José, CA, USA

14:40 MSB for ILT Masks

J. Gramss, U. Weidenmüller, A. Stöckel, R. Jaritz, H.-J. Döring, M. Böttcher, Vistec Electron Beam GmbH, Jena, Germany

15:00 Coffee Break

 

Session 4 - NGL Lithography and Masks

Session Chairs:

H. Scheer, University of Wuppertal, Germany; K.-D. Röth, KLA-Tencor MIE, Weilburg, Germany

15:30 NGL Masks: Development Status and Issue

(invited)

N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan

16:00 Thermal Nanoimprint (T-NIL) with Photoresists for Hybrid Lithography

K. Dhima, C. Steinberg, S. Möllenbeck, A. Mayer, H.-C. Scheer, University of Wuppertal, Germany

 

Session 5 - Wafer Patterning & Application

Session Chairs:

R. Seltmann, Globalfoundries, Dresden, Germany; U. Behringer, UBC Microelectronics, Ammerbuch, Germany

16:20 Multilayer Reticles: Advantages and Challenges for 28nm Chip Making 

A. Hotzel, R. Seltmann, J. Busch, E. Cotte, Globalfoundries, Dresden, Germany

16:40 Alignment Technology for Backside Integration Technique

J. Bauer (a), P. Kulse (a), U. Haak (a), G. Old (b), G. Scheuring (c), St. Döbereiner (c), F. Hillmann (c), H.-J. Brück (c), M. Kaynak (a), K.-E. Ehwald (a), St. Marschmeyer (a), M. Birkholz (a), K. Schulz (a)

(a) Institut für Halbleiterphysik (IHP) GmbH, Frankfurt/Oder, Germany

(b) Nikon Precision Europe GmbH, Langen,Germany

(c) MueTec GmbH, München, Germany

 

Session 6 - EUV Mask 1

Session Chairs:

Ch. Progler, Photronics, Photronics, S. Wurm, SEMATECH, Albany, NY, USA

17:00 Using Synchrotron Light to Accelerate EUV

Resist and Mask Materials Learning (invited)

P. P. Naulleau, Lawrence Berkeley National Laboratory, Berkeley CA, USA

17:30 EUV Mask Readiness and Challenges for 22nm Half Pitch and Beyond

D. Chan, SEMATECH, Albany, NY, USA

17:50 Actinic EUV-Mask Metrology: Tools, Concepts, Components

R. Lebert, A. Fahrazadi, W. Diete, Bruker Advanced Supercon GmbH, Bergisch- Gladbach, Germany; S. Herbert, A. Maryasov, L. Juschkin, RWTH Aachen University and JARA, Aachen, Germany; D. Schäfer, Ch. Phiesel, T. Wilhein, University of Applied Sciences Koblenz, Remagen, Germany; D. Esser, M. Hoefer, D. Hoffmann, Fraunhofer Institute for Laser Technology (ILT), Aachen, Germany

18:10 EUV Actinic Mask Blank Defect Inspection:

Results and Status of Concept Realization

A. Maryasov, S. Herbert, L. Juschkin, RWTH Aachen University and JARA, Aachen, Germany; R. Lebert, Bruker Advanced Supercon GmbH, Bergisch-Gladbach, Germany; K. Bergmann, Fraunhofer Institute for Laser Technology, Aachen, Germany

19:30 Banquet Dinner: Meet for Busses at the Hilton Lobby

 

 

Wednesday, January 19th, 2011

 

Session 7 – Metrology

Session Chairs:

T. Scherübl, Carl Zeiss, SMS, Jena, Germany J. Whittey, KLA-Tencor, Oakdale, CA, USA

08:30 The Evolution of Pattern Placement Metrology for Mask Making (invited)

D. Beyer, N. Rosenkranz, C. Blaesing-Bangert, Carl Zeiss SMS GmbH, Jena, Germany

09:00 Correlation Method Based Mask to Mask Overlay Metrology for 32nm Node and beyond

D. Seidel (a), M. Arnz (b), D. Beyer (a)

(a) Carl Zeiss SMS GmbH, Jena, Germany;

(b) Carl Zeiss SMT AG, Oberkochen, Germany

09:20 Update on Next Generation Metrology Tool for DPL Reticles

K.-D. Röth, J. Bender, F. Laske, D. Adam, K.-H. Schmidt, KLA-Tencor MIE GmbH, Weilburg, Germany

09:40 First Steps towards Traceability in Scatterometry

F. Scholze (a), B. Bodermann (b), H. Groß (a), A. Kato (a), M. Wurm (b)

(a) Physikalisch-Technische Bundesanstalt, Berlin, Germany

(b) Physikalisch-Technische Bundesanstalt, Braunschweig, Germany

10:00 YieldStar: a New Metrology Platform for Advanced Lithography Control

J. Maas, M. Ebert, K. Bhattacharyya, H. Cramer, A. Becht, S. Keij, R. Plug, A. Fuchs, M. Kubis, H. Megens, ASML, Veldhoven, The Netherlands

10:20 Coffee Break

 

Session 8 - Mask Application

Session Chairs:

J. Finders, ASML, Veldhoven, The Netherlands J. Waelpoel, ASML, Veldhoven, The Netherlands

10:50 Mask 3D Effects: Impact on Imaging and Placement (invited)

J. Finders, T. Hollink, ASML, The Netherlands B.V., Veldhoven, The Netherlands

11:30 Use of Scatterometry for Scanner Matching

 H. Bald, R. Seltmann, K. Bubke, Globalfoundries, Dresden, Germany; M. Ruhm, M. Noot, D. Woischke, P. van Adrichem, P. Luehrmann, ASML, Veldhoven, The Netherlands

11:50 Mask Tuning for Process Window Improvement

 U. Buttgereit (a), R. Birkner (a), E. Graitzer (b), A. Cohen (b), B. Triulzi (c), C. Romeo (c)

(a) Carl Zeiss SMS GmbH, Jena, Germany

(b) Carl Zeiss SMS, Karmiel, Israel

(c) Numonyx Italy S.r.l., Agrate Brianza (MI), Italy

12:10 Model-based Scanner Tuning for Process Optimization

R. Aldana, V. Velanki, W. Shao, R. Goosens, Z. Yu, X. Xie, Y. Cao, BRION Technologies, Santa Clara CA, United States; K. Schreel, ASML Netherlands B.V., Veldhoven, The Netherlands

12:30 Augmented Reality for Wafer Prober

P. Gilgenkrantz, STMicroelectronics, Crolles, France

12:50 Lunch

 

Session 9 – Mask Cleaning & Haze

Session Chair:

J. Jonckheere, IMEC, Leuven, Belgium W. Schmidt, AMTC, Dresden, Germany

13:50 Effective EUVL Mask Cleaning Technology Solutions for Mask Manufacturing and in-fab Maintenance

U. Dietze(a), P. Dress(a), T. Waehler (a), S. Singh(b), R. Jonckheere (c)

(a) HamaTech APE, Sternenfels, Germany

(b) Suss MicroTec Inc., Waterbury Center, VT, USA;

(c) IMEC, Leuven, Belgium

14:10 Investigation on full 6” Masks Using Innovative Solutions for direct Physico- Chemical Analyses of Mask Contamination and Haze

L. Dussault (a), B. Pelissier (a), F. Dufaye (b),

S. Gough (b), O. Chaix (c), P. Sergent (d), M. Tissier (d)

(a) CEA-LETI, Grenoble, France

(b) STMicroelectronics, Crolles, France

(c) Grenoble INP, Grenoble, France

(d) Toppan Photomasks Corbeil, Corbeil

Essonnes, France

14:30 Comparison of Cleaning Processes with Respect to Cleaning Efficiency

P. Nesladek (a), S. Osborne (b), T. Rode (a)

(a) Advanced Mask Technology Center, Dresden, Germany

(b) Beam Services, Inc.,Pleasanton, CA, USA

14:50 Minienvironment Solutions: Special Concepts for Mask-Systems

M, Dobler, M. Rüb, T. Billen, MCRT GmbH, Heuchelheim, Germany

 

Session 10 - Data Prep / RET & Simulation

Session Chairs:

W. Maurer, Infineon Technologies AG, Munich, Germany; M. Arnz, Carl Zeiss, SMT, Oberkochen, Germany

15:10 DOE Experiment for Scatterbar Optimization at the 90nm Node

G. Bouton (a), B. Connolly (b), D. Courboin (c),

A. Di Giacomo (a), F. Gasnier (a), R. Lallement (a),

D. Parker (a), M. Pindo (c), J.C. Richoilley (c),

F. Royere (c), A. Rameau-Savio (d), M. Tissier (c)

(a)ST Microelectronics, Rousset, France

(b)Toppan Photomasks Germany, Dresden

(c)Toppan Photomasks France, Corbeil-Essonnes

(d) Elsys Design, Aix-en-Provence, France

 

15:30 Geometrically Induced Dose Correction - Method and Performance Results

R. Galler (a), K.- Choi (b), M. Gutsch (b), C. Hohle (b), M. Krüger (a), D. Melzer (a), L. Ramos (c), M. Sülzle (a), U. Weidenmüller (c)

(a) Equicon Software GmbH Jena, Germany

(b) Fraunhofer Center Nanoelectronic Technologies, Dresden, Germany

(c) Vistec Electron Beam GmbH, Jena, Germany

15:50 Line End Shortening and Application of Novel Correction Algorithms in E-Beam Direct Write

M. Freitag, M. Gutsch, K.-H. Choi, C. Hohle, Fraunhofer Center Nanoelectronic Technologies, Dresden, Germany

16:10 Coffee Break

 

Session 11 – EUV Mask II

Session Chairs:

D. Chan, SEMATECH, Albany, NY, USA U. Behringer, UBC Microelectronics, Ammerbuch, Germany

16:30 Concept and Feasibility of Aerial Imaging Measurements on EUV Masks

S. Perlitz (a), W. Harnisch (a), U. Strößner (a), H. Feldmann (b), D. Hellweg (b)

(a) Carl Zeiss SMS GmbH, Jena, Germany

(b) Carl Zeiss SMT AG, Oberkochen, Germany

16:50 Current Status of EUV Mask Inspection Using 193nm Optical Inspection System in 30nm Node and beyond

S. H. Han (a), J. H. Na (a), W. I. Cho (a), D. H. Chung (a),

C. U. Jeon (a), H. K. Cho (a), L. Shoval (b),

D. Bernstein (b), E. Y. Park (b), A. Sreenath (b),

S. Mangan (b)

(a) Samsung Electronics, Co., Ltd., Hwasung-City, Gyeonggi-Do, Korea

(b) Applied Materials®, Rehovot, Israel

17:10 Evidence of Printing Blank-Related Defects on EUV Masks Missed by Blank Inspection

R. Jonckheere, D. Van Den Heuvel, E. Hendrickx, K. Ronse, IMEC vzw, Leuven, Belgium

17:30 Imaging Performance Improvements by EUV Mask Stack Optimization

E. van Setten (a), N. Davydova (a), R. de Kruif (a), D. Oorschota (a), M. Dusa (b), C. Wagner (a), J. Jiang (c), W. Liu (c), H. Kang (c), H.-yu Liu (c), P, Spies (d), N. Wiese (d), M. Waiblinger (d)

(a) ASML Netherlands B.V., Veldhoven, The Netherlands

(b) ASML Belgium bvba., Leuven, Belgium

(c) Brion Technologies Incorporated, Santa Clara, CA, USA

(d) Carl Zeiss SMS GmbH, Jena, Germany

17:50 Farewell

18:00 End of Conference

 

 

 

 Cooperating Partner

UBC Microelectronics
Bacus

 Sponsoring Societies

Photomask Japan
Semiconductor Equipment and Materials International
SPIE
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.