InSite

Login

Notes

Skip Navigation LinksEMLC 2012 Conference Program

EMLC 2012 

Conference Program 

Dresden 

 Tuesday, January 17th, 2012

08:50 Welcome and Introduction     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair
09:00 Invited Welcome Speaker from Dresden  
H. M. Esser, President Silicon Saxony e.V., Dresden, Germany

 

         Session 1 - Plenary Session I                                                       
Session Chairs:
W. Maurer, Infineon Technologies AG, Munich, Germany;
R. Seltmann, Globalfoundries, Dresden, Germany

09:30 Keynote 1                                                                
Nanometer-Level Semiconductor for Micrometer-Level MEMS  
 

B. Lin, Taiwan Semiconductor Manufacturing Company Limited, Taiwan

 
10:10  Industry Mask Assessment (Invited)  
  D. Chan, SEMATECH, Albany, NY, USA  
10:40 Coffee Break  

 

         Session 2 - Plenary Session II                                                    
Session Chairs:
C. Gale, Applied Materials, Dresden, Germany
N. Hayashi, Dai Nippon Printing, Saitama, Japan

11:10 Keynote 2                                                                                                      
Adapting Mask Fabrication and Service to New Customer Models  
 

P. Chipman, Toppan Photomasks, Inc., Austin TX, USA

 
11:50  Best Poster BACUS 2011 (invited)
Optimization of Mask Shot Count Using MB-MDP and Lithography Simulation
 
  G.S. Chua1, W.-L.Wang1, B. IL Choi1, Y. Zou1, I. Bork2, T. Nguyen2,
A. Fujimura2
1 Global Foundries Singapore, 
2 D2S Inc., San José, CA
 
12:20 Lunch Break  

 

         Session 3 - EUV Lithography & Mask Application                   
Session Chairs:
T. Scherübl, Carl Zeiss SMS, Jena, Germany;
B. Connolly, Toppan Photomasks Inc., Dresden, Germany

13:40 Mask Readiness for EUVL Pilot Line (Invited)                     
 

N. Hayashi, T. Abe, T. Takikawa, Dai Nippon Printing, Saitama, Japan

 
14:10  NXE: 3100 Full Wafer Imaging Performance and Budget Verification   
  E. v. Setten, K. v. Ingen Schenau, T. Hollink, M.O. Mahony, F. Wittebrood, C.W. Man,
M. Eurlings, K. Feenstra, J. Finders, M. Dusa,
S. Young, ASML Netherlands, Veldhoven, The Netherlands
 
14:30 Modeling of Particle Transport and Multilayer Film Growth during EUV Mask 7 Blank Deposition  
V. Jindal1, A. John1, P. Kearney1 , Y. Khopkar2,
H. Herbol2, G. Denbeaux2 , A. Likhanskii3,
P. Stoltz3,
1 SEMATECH, Albany, NY, USA 
2 College of Nanoscale Science and
  Engineering, University at Albany, NY, USA 
3 Tech-X Corporation, Boulder, CO, USA
14:50 A Fast Approach to Model EUV Mask 3D and Shadowing Effects
Y. Li, D. Peng, M. Satake, P. Hu, Luminescent Technology, Palo Alto, CA, USA
15:10 EUVL Mask Optimizations for 27nm Node and below
N. Davydova1, E. V. Setten1, R. de Kruif 1,
B. Connolly3, N. Fukugami4, A. Lammers1,
K. Mehagnoul1, J. Zimmerman3, N. Harned3
1ASML, Veldhoven, The Netherlands
2ASML Wilton, CT, USA
3Toppan Photomasks Inc.,Dresden, Germany
4 Toppan Printing Co., Ltd., Saitama, Japan
15:30 Coffee Break

 

         Session 4 - Mask Optimization                   
Session Chairs:
D. Farrar, Hoya Corporation, London, United Kingdom; 
J. Waelpoel, ASML, Veldhoven, The Netherlands

16:00 AIMS D2DB Simulation for DUV and EUV Mask Inspection                     
 

D. Peng, Y. Li, M. Satake, P. Hu, Luminescent Technology Inc., Palo Alto, CA, USA

 
16:20  Correcting Image Placement Errors Using Registration Control (RegC) Technology Over The Photomask Periphery    
  A. Cohen1, F. Lange2, G. Ben-Zvi1, E. Graitzer1, D. Vladimir1
1 Carl Zeiss SMS, Karmiel, Israel 
2 Advanced Mask Technology Center, Dresden, Germany
 

 

         Session 5 - Lithography Optimization                                     
Session Chairs:
J. Finders, ASML, Veldhoven, The Netherlands;
J. Whittey, KLA-Tencor, San José, CA, USA

16:40 Optimization Method of Photolithography Process by means of Atomic Force Microscopy                     
 

A. Sierakowski1, P. Janus1, D. Kopiec2,
K. Nieradka2, K. Domański1, B. P.  Grabiec1,
T. Gotszalk2
1 Institute of Electron Technology, Warsaw, Poland
2 Wrocław University of Technology, Wrocław, Poland

 
17:00  Investigation and Mitigation of Field-Edge CDU Fingerprint for ArFi Lithography for 45nm to sub 28nm Logic Nodes  
  B. Le Gratiet1, J. Finders2, O. Mouraille2, R.M.G.J. Queens2, K. Jullian1
1STMicroelectronics Crolles, France
2ASML, Veldhoven, The Netherlands
 
17:20 Double Exposure as a Method to Correct for On-Wafer CD Variation: A Proposal
A. Hotzel, H. Bald, Global Foundries, Dresden, Germany

 

         Session 6 - Lithography for MEMS                                     
Session Chairs:
J. Bauer, IHP-Microelectronics, Frankfurt (Oder), Germany;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

17:40 Lithographic Aspects for the Fabrication of BiCMOS Embedded Bio-MEMS and RF-MEMS                    
 

P. Kulse, M. Birkholz, K.-E. Ehwald, M. Kaynak, M. Wietstruck, J. Bauer, U. Haak, J. Drews,
K. Schulz, IHP - Innovations for High Performance microelectronics, Frankfurt (Oder), Germany

 
18:00  MEMS: Fabrication of cryogenic bolometers  
  J. Kunert1, S. Anders1, V. Zakosarenko1,
G. Zieger1, E. Kreysa2, H.-G. Meyer1
1 Institute of Photonic Technology, Jena, Germany
2 Max Planck Institute for Radioastronomy, Bonn, Germany
 
19:10 Meet at the Hilton Lobby at 19:10 to go to the Conference Dinner
19:30 Conference Dinner at the “Festungsmauern” (citadel rampart) of Dresden 
23:00 End of Conference Dinner

 

 Wednesday, January 18th, 2012

        Session 7 - Mask Materials                                    
Session Chairs:
H. Wolf, Photronics MZD, GmbH, Dresden, Germany;
R. Seltmann, Global Foundries, Dresden, Germany

08:30 Impact of Reticle Absorber on the imaging Properties in ArFi Lithography (invited)                     
 

J. Finders, O. Mourille, A. Bouma, J. Miyazaki, ASML, Veldhoven, The Netherlands; M. Higuchi, Y. Kojima, Toppan Printing Co., Ltd, Niiza, Saitama, Japan; B. Connolly, Toppan Photomasks, Dresden, Germany; I. Englard, Y. Cohen, S. Mangan, Applied Materials, Kiryat Gat, Israel and Nijmegen, The Netherlands

 
09:00 

PSM and Thin OMOG Reticles Aerial Imaging Metrology Comparison Study    

 

Y. Cohen1, J. Finders2, S. Mangan1, I. Englard3, B. Connolly4, Y. Kojima5, M. Higuchi5, O. Mouraille2, M. Janssen2
1 Applied Materials, Rehovot, Israel
2 ASML, Veldhoven, The Netherlands
3 Applied Materials, Nijmegen, The Netherlands
4 Toppan Photomasks Inc., Dresden, Germany
5 Toppan, Printing Co., Ltd, Niiza, Saitama, Japan
  

 

         Session 8 – Mask Data Preparation                                     
Session Chairs:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany;
W. Maurer, Infineon Technologies AG, Munich, Germany

09:20 Pointwise Process Proximity Function Calibration – PPF-Explorer Application Results                     
 

M. Krueger1, M. Banasch2, R. Galler1, D. Melzer1, L.E. Ramos3, M. Suelzle1, U. Weidenmueller3,
U. Zeitner2
1 EQUIcon Software GmbH, Jena, Germany
2 Fraunhofer Institute for Applied Optics and Precision Engineering IOF, Jena, Germany
3 Vistec Electron Beam GmbH, Jena, Germany

 
09:40  Mask Write Time Reduction – Deployment of Advanced Approaches and their Impact on stablished Work Models      
  T. Lin, E. Sahouria, Mentor Graphics Corporation, Freemont, CA, USA;
A. Elayat, S. Schulze, Mentor Graphics Corporation, Wilsonville, CA, USA  
 
10:00 Frequent Pattern Detection Algorithm for Corner 2: A lossless Layout Image Compression for Maskless Lithography Systems
J. Yang, University of Michigan, Ann Arbor, MI, USA
10:20 Coffee Break

 

         Session 9 - Emerging Lithography                                     
Session Chair:
H. Scheer, University of Wuppertal, Wuppertal, Germany;
S. Tedesco, CEA-Leti, Grenoble, France

10:50 Using UV-based Nanoimprint Lithography to Fabricate Single and Multilayer Negative Index Materials                     
 

I. Bergmair1, B. Dastmalchi2, M. Bergmair2, G. Hesser2, M. Losurdo3,G. Bruno3, C. Helgert4, E. Pshenay-Severin4, T. Pertsch4, E.-B. Kley4, U. Hübner5, R. Penciu6, N.-H. Shen6 , M. Kafesaki6, C.M. Soukoulis6, K. Hingerl2, M. Muehlberger1
1 Profactor GmbH,  Steyr-Gleink, Austria
2 Johannes Kepler University Linz, Austria
3 University of Bari, Italy
4 Friedrich-Schiller-Universität Jena, Germany
5 Institute of Photonic Technology, Jena, Germany
6 Institute of Electronic Structure & Laser, Hellas, Greece

 
11:10  Enhanced E-beam Pattern Writing for Nano-Optics Based on Character Projection      
  E.-B. Kley1, H. Schmidt1, U. Zeitner2, M. Banasch3, B. Schnabel3
1 Friedrich-Schiller University Jena, Germany
2 Fraunhofer Institute of Applied Optics and Precision Engineering, Jena, Germany
3 Vistec Electron Beam GmbH, Jena, Germany  
 
11:30 A Novel Tool for Frequency Assisted Thermal Nanoimprint
A. Mayer, S. Möllenbeck, K. Dhima, S. Wang, H.-C. Scheer, University of Wuppertal, Germany
11:50 Nanoimprint activities in Austria in the research project cluster NILaustria
M. Muehlberger1, H. Fachberger1, I. Bergmair1, M. Rohn1, B. Dittert1, T. Rothländer2, D. Nees2, M. Knapp3, T. Fromherz4
1 Profactor GmbH, Steyr, Austria
Johanneum Research Forschungsgesellschaft mbH, Weiz, Austria 
Onkotec GmbH, Vestenötting, Waidhofen/Thaya, Austria 
4 Johannes Kepler University, Linz, Austria
12:10 Phase-Shift at Sub-Wavelength Holographic Lithography (SWHL)
V. Rakhovsky1, D. Knyazkov2, A. Shamaev2, V. Chernik2, A. Gavrikov2, D. Chelyubeev2, P. Mikheev, M. Borisov, Moscov, Russian Federation
1 Nanotech SWHL, Moscow, Russian Federation
2 IPMech RAS, Moscow, Russian Federation
12:30 Lunch Break

 

           Session 10 – Mask Handling, Cleaning & Haze                                    
Session Chairs:
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
R. Jonckheere, IMEC vzw, Leuven, Belgium

13:50 High Quality Mask Storage in an Advanced Logic-Waferfab                     
 

C. Jähnert, S. Fritsche, Infineon Technologies Dresden GmbH

 
14:10  Through Pellicle Management of Haze Formation in a Wafer Fab Environment      
  R. White, J. LeClaire, D. Brinkley, D. Doerr, Rave LLC, Delray Beach, FL, USA  
14:30 Cleaning Aspects of Material Choice for High End Mask Manufacturing  
P. Nesladek1, S. Osborne2, S. Rümmelin1 
1 Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany
2 Beam Services, Inc., Pleasanton, CA, USA
14:50 The Effect of Puddle Megasonic Cleaning for Advanced Photomask with Sub-Resolution Assist Features (SRAFs)
M.-C. Chen, S. Yang, C.-R. Tseng, Taiwan Mask Corporation, Hsinchu, Taiwan
15:10 Coffee Break

 

           Session 11 – EUV Mask Defect Management                                   
Session Chairs:
S. Wurm, SEMATECH, Albany, NY, USA;
C. Gale, Applied Materials GmbH, Dresden, Germany

15:40 Integrated Cleaning and Handling Automation of NXE3100 Reticles (Invited)                      
 

R. Jonckheere1, T. Waehler 2, B. Baudemprez1, U. Dietze3, P. Dress2, O. Brux 2 , K. Ronse1
1
IMEC vzw, Leuven, Belgium
2 HamaTech APE GmbH & Co.KG, Sternenfels,
  Germany
3 SUSS MicroTec Inc., Sunnyvale CA, USA

 
16:10  Towards the Optical Inspection Sensitivity Optimization of EUV Masks and EUV-Exposed Wafers      
  U. Okoroanyanwu1, J. Heumann7, X. Zhu2, P. Mangat1, J. Schefske3,R. Moses4,8 , O. Wood2, N. Schmidt4, H. Rolff5, T. Schedel2, R. Cantrell2, P. Nesladek2, M. Bender2, N. LiCausi2, X. Cai3, W. Taylor3,                    R. Ghaskadavi3
1
Globalfoundries, Dresden, Germany
2 Advanced Mask Technology Center (AMTC) Dresden, Germany
3 Globalfoundries, Malta, NY, USA 4Globalfoundries, Albany, NY, USA
5 KLA-Tencor, Dresden, Germany
6
KLA-Tencor, Albany NY, USA  
7 Currently on assignment at AMTC
8 Currently on assignment at IMEC
     
 
16:30 EUV Mask Defects Characterization with TEM   
A. Rastegar, SEMATECH, Albany, NY, USA
16:50 EUVL Defect Printability: An Industry Challenge
H. J. Kwon, R. Teki, J. Harris-Jones, A. Cordes, SEMATECH, Albany, NY, USA
17:10 Advanced characterization of EUV mask blank defects
J. Harris-Jones, V. Jindal, C.C. Lin,
T. Chakraborty, E. Stinzianni, R. Teki,
H. J. Kwon, SEMATECH, Albany, NY, USA

 

           Session 12 – Last Minute Submissions                                           
Session Chairs:
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

17:30 Block Copolymer Soft Mask Lithography for Silicon Nanowire Development and Seedless Fabrication of Copper & Germanium Nanowires                       
 

S. Rasappa1,2, D. Borah1,2, R. Senthamaraikanna1,2, M. Shaw1,2,3,4,
C. Faulkner2, P. Gleeson2,4, M. Boese2, T. Lutz2, J.D. Holmes1,2,3, M. A. Morris1,2,3
1 University College Cork, Ireland
2 Trinity College Dublin, Dublin, Ireland
3 Tyndall National Institute, Cork, Ireland
4 Intel Ireland, Leixlip, Co.
Kildare, Ireland 
 

 
17:50  In-die Job automation for PROVE®      
  R. J. Lesnick Jr1, S. Kim1, M. Waechter2, D. Seidel2, A. Mueller2, D. Beyer2
1 Synopsys Inc., Mountain View, CA, USA
2 Carl Zeiss SMS GmbH, Jena, Germany 
 
18:10 More than Monitoring: Advanced Lithographicprocess Tuning   
G. R. Cantrell, J. A. Dumaya, C. Bürgel, A. Feicke, M. Häcker, C. Utzny, Advanced Mask Technology Center Dresden, Germany
18:30 Farewell
18:40 End of Conference

Cooperating Partners

Bacus
PMJ
SPIE
Semi
Silicon Saxony
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.