InSite

Login

Notes

Skip Navigation LinksEMLC 2013 Conference Program

EMLC 2013 - Conference Program 

Conference Program 

 Tuesday, June 25th, 2013

13:00 Welcome and Introduction     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair; W. Maurer, Infineon Technologies AG, Munich, Conference Program Chair
13:15 Invited Welcome Speaker from Dresden  
G. Teepe, Global Foundries, Dresden

 

         Session 1 - Plenary Session I                                                       
Session Chairs:
W. Maurer, Infineon Technologies AG, Munich, Germany;
R. Seltmann, Globalfoundries, Dresden, Germany

14:00 Keynote 1                                                                
Photomask technology progress to meet with electronics product requirements  
 

H. Morimoto, Toppan Printing Co., Japan

 
14:45 

Best Paper of PMJ (Invited)

The Capability of High Magnification Review Function for EUV Actinic Blank Inspection Tool

H. Miyai, Lasertec Corporation, Japan

 
15:15 Coffee Break  

 

         Session 2 - Plenary Session II                                                    
Session Chairs:
B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;
N. Hayashi, Dai Nippon Printing, Saitama, Japan

15:45 Keynote 2                                                                                                      
The lithographer's dilemma: Shrinking without breaking the bank  
 

H.J. Levinson, Global Foundries, Sunnyvale, CA, USA

 
16:30  Best Poster BACUS 2012 (invited)
Effect of radiation exposure on the surface adhesion at Ru-capped MoSi multilayer blanks 
 
  G. Durkaya, A. Rastegar, A. Cepler, H. Kurtuldu, SEMATECH North, USA  
17:00 Consequent use of IT tools as a driver for cost reduction and quality improvements (invited)  
Dr. Hein, Bosch
18:30 Get together, Hilton Piano Bar

 

 Wednesday, June 26th, 2013

         Session 3 - EUV Tooling & Further Lithograph Options                   
Session Chairs:
J.H. Peters, Carl Zeiss SMS, Jena, Germany;
H. Mrimoto, Toppan Printing Co., Japan

09:00 Challenges and progress in NGL mask making (Invited)                     
 

N. Hayashi, Dai Nippon Printing, Saitama, Japan

 
09:30  NXE: 3300B imaging applications at 22nm and beyond    
  E. Van Setten, G. Schiffelers, ASML Netherlands BV, Veldhoven, The Netherlands  
09:50 Thermal Probe Nanolithography: closed-loop, hig-speed, high-resolution, 3D  

F. Holznera,b,c, P. Paula,b,d, M. Despontb,
J. Hedricke, L. L. Cheongb,f, A. Knollb, U. Dürigb
a) SwissLitho AG, Zurich, Switzerland
b) IBM Research,  Zurich, Switzerland
c) ETH Zurich,  Zurich, Switzerland
d) inspire AG,  Zurich, Switzerland
e) IBM Research, Almaden,  San José, CA USA
f) MIT, Cambridge, MA, USA

10:10 Compact model for directed self-assembly pattern prediction

C. Yim, Samsung Electronics, Republic of Korea

10:30 Coffee Break

 

         Session 4 - Optical Lithograhy & APC                   
Session Chairs:
B. Grenon, Grenon Consulting Inc., Colchester, VT, USA;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

11:00 Spray coating technology for coating topographically challenged MEMS structures                                                                
                
    
  A. Peic, EV Group, St. Florian, Austria  
11:20  Scanner grid recipe creation improvement for tighter overlay specifications    
  E. P. Cotte, GLOBALFOUNDRIES, Dresden, Germany  
11:40 Challenges in process marginality for advanced technology nodes and tackling its contributors  
A. N. Samy, R. Schiwon, R. Seltmann,F. Kahlenberg, GLOBALFOUNDRIES, Dresden, Germany
12:00 Intrafield CDU improvement by using advanced Mask Inspection Data  
T. Hertzsch, R. Schiwon, P. Jaschinsky,R. Seltmann, GLOBALFOUNDRIES, Dresden, Germany; S. Meusemann, C. Utzny, Advanced Mask Technology Center Dresden, Germany
12:20 Lunch Break

 

         Session 5 - Mask Materials                                     
Session Chairs:
D. Farrar, Hoya Corporation, London, United Kingdom;
M. Arnz, Carl Zeiss, SMT AG, Oberkochen, Germany

13:50 Experimental approach to EUV imaging enhancement by mask absorber height optimization                           
  N. Davydova1, R. De Kruif1, H. Rolff²,B. Connolly3, A. Lammers1, D. Oorschot1
 

1) ASML Netherlands B.V., Eindhoven, The    Netherlands
2) Advanced Mask Technology Center, Dresden,   Germany
3) Toppan Photomasks Inc., Dresden, Germany

 
14:10  Actinic characterization and modeling of the EUV mask stack    
  V. Philipsen1, E. Hendrickx1, R. Jonckheere1, N. Davydova², T. Fliervoet², J. T. Neumann3
1) IMEC vzw, Leuven, Belgium
²) ASML Netherlands B.V., Eindhoven, The Netherlands
3) Carl Zeiss SMT AG, Oberkochen, Germany
 
14:30 Recent advances in SEMATECH’s Mask Blank Development Program, the remaining technical challenges, and future outlook
F. Goodwin, P. Kearney, A. J. Kadaksham,S. Wurm, SEMATECH, Albany, NY, USA

 

         Session 6 - E-beam Lithography                                              
Session Chairs:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany;
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan

14:50 Electron multi-beam technology for mask and wafer writing (invited)                    
  E. Platzgummer, IMS Nanofabrication AG, Vienna, Austria  
15:20  Chemical semi amplified positive-E-Beam resist (CSAR 62) for highest resolution   
  M. Schirmer1, B. Büttner², F. Syrowatka²,G. Schmidt², T. Köpnick3,     C. Kaiser1
1) Allresist GmbH, Strausberg, Germany
²) Martin-Luther-Universität Halle-Wittenberg, Germany
3) Institut für Dünnschichttechnologie und Mikrosensorik, Teltow, Germany
 
15:40 Coffee Break
16:10 Simulation of dose variation and charging due to fogging in electron beam lithography
S. Babin, S. Borisov, E. Patjukova, Abeam Technologies Inc., Castro Valley, CA, USAS. Babin, S. Borisov, E. Patjukova, Abeam Technologies Inc., Castro Valley, CA, USA
16:30 Extreme long range process effects characterization and compensation
T. Figueiro1,², C. Browning1, M.J. Thornton1,3,  C. Vannufel3, K-H. Choid4, C. Hohle4,  P. Schiavone1
1) Aselta Nanographics, Grenoble, France
²) CNRS/UJF-Grenoble/ CEA LTM, Grenoble, France
3) CEA LETI, Grenoble, France
4) Fraunhofer Center Nanoelectronic Technologies IPMS-CNZ, Dresden, Germany
16:50 Evaluation of ultra-low-k characteristics and transistor performance after high-energy electron irradiation
K. Steidel1, K.-H. Choi1, M. Freitag², M. Gutsch1, C. Hohle1, R. Seidel², X. Thrun1, T. Werner²
1) Fraunhofer Center Nanoelectronic Technologies (IPMS-CNT), Dresden, Germany 

2) GLOBALFOUNDRIES Dresden, Germany

 

        Session 7 - Panel Session                               
Session Chairs:
S. Wurm, SEMATECH Albany, NY, USA

17:10 Panel Discussion:“EUVL – What Else?”                                            
F. Goodwin, SEMATECH North, Albany, NY, USA;
H. Morimoto, Toppan Printing Co., Japan;
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
H.J. Levinson, GLOBALFOUNDRIES Inc., Sunnyvale, CA, USA;
J. Finders, ASML, Veldhoven, The Netherlands;
J.H. Peters, Carl Zeiss-SMS, Jena, Germany;
19:10 

Meet at the Hilton Lobby at 19:10 to go to the Conference Dinner, Cruise on the Elbe river     

 
19:30 Conference Dinner on board of the paddle steamer “Leipzig”
sponsored by the City of Dresden
23:00 End of Conference Dinner

 

 Thursday, June 27th, 2013

         Session 8 – Metrology & Inspection                                    
Session Chairs:
J. Finders, ASML, Veldhoven, The Netherlands; 
K.-D. Röth, KLA-Tencor MIE, Weilburg, Germany 

09:00 Sources and tools for actinic EUV Metrology                             
  R. Lebert, T. Missalla, C. Phiesel, A. Farahzadi, U. Wiesemann, W. Diete, Bruker ASC GmbH, Cologne, Germany; S. Herbert, S. Danylyuk, RWTH Aachen University, Germany;  K. Bergmann, Fraunhofer Institute for Laser Technology, Aachen, Germany   
09:20  Status of the AIMSTM EUV development project      
  A. Garetto, J.H. Peters, Carl Zeiss SMS GmbH, Jena, Germany;  D. Hellweg, M. Weiss, Carl Zeiss SMT AG, Oberkochen, Germany  
09:40 Changing technology requirements of mask metrology
K.D. Röth, M. Wagner, F. Laske, KLA Tencor MIE GmbH, Weilburg, Germany
10:00 Utilization of AIMSTM Bossung plots to predict Qz height deviations from nominal

A. Garetto1, D. Uzzel², K. Magnusson1,  J. Morgan², G. Tabbone1
1) Carl Zeiss SMS GmbH, Jena, Germany
²)Photronics Inc., Boise, ID, USA

10:20 Application of Müller-Matrix spectroscopic ellipsometry to determine line edge roughness on photomasks
A. Heinrich1, I. Dirnstorfer1, J. Bischoff²,  U. Richter3, H. Ketelson3, T. Mikolajick1
1) NaMLab gGmbH, Dresden, Germany
²) Osires Optical Engineering and Software, Ilmenau, Germany
3) SENTECH Instruments GmbH, Berlin, Germany
10:40 Coffee Break

 

         Session 9 - Resolution Enhancement & DfM                                   
Session Chair:
W. Maurer, Infineon Technologies AG, Munich, Germany; 
J. Finders, ASML, Veldhoven, The Netherlands 

11:10 Looking for simple engineering solutions in DFM patents (invited)                     
  A. Balasinski, Cypress Semiconductor Corporation, San Diego, CA, USA  
11:40  Imaging challenges in 20nm logic node: hotspots performance in Metal1 layer      
  V. Timoshkov, ASML, Veldhoven, The Netherlands  
12:00 Improving inspectability of Sub-2x nm node Masks with Complex SRAF 
I.-Y. Kang, G. Yoon, J. Lee, D.P. Chung,  B.-G. Kim, C. Jeon, SAMSUNG Electronics Co. Ltd, Republic of Korea; G. Inderhees,  T. Hutchinson, W. Cho, J.U. Hur, KLA-Tencor Corporation, USA
12:20 Model-based SRAF Solutions for advanced technology nodes 
S. Jayaram, J. Word, P.J. Lacour, A. Tritchkov, Mentor Graphics Corporation, USA
12:40 Farewell
12:50 End of EMLC 2013
13:00 Farewell Lunch                                                                  

 

 

 

 Cooperating Partners

Photomask Japan
Silicon Saxony
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.