EMLC 2017 

Foreword 

Welcome to the EMLC 2017 in Dresden
33rd European Mask and Lithography Conference EMLC 2017
at the Hilton Hotel, Dresden, Germany
June 26th to June 28th 2017

 

On behalf of VDE/VDI-GMM, the EMLC2017 Sponsors, and the EMLC2017 Organizing and International Program Committee, we would like to welcome you to the 33rd European Mask and Lithography Conference, EMLC2017, at the Hilton Hotel in the City of Dresden, Germany.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology.

The two and a half days conference (starting with a Tutorial on Monday, June 26th at 01:30 pm, ending on Wednesday, June 28th at  5:00 pm) is dedicated to the science, technology, engineering and application of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year, the EMLC2017 Program Committee defined the following sessions: 

“Wafer Lithography (193i and EUV)”; “Mask Patterning, Metrology & Process”; “Non-IC Applications”; “Nano-Imprint Lithography”; “Mask2Wafer Metrology”; and “Using the Data / Big Data”.  

 

As Welcome Speaker we are pleased to announce Mathias Kamolz from Infineon Technologies Dresden, Germany. He will talk about: ”The Power of Power-Semiconductors”.

As first Keynote Speaker we have invited Jim Wiley from ASML US, Inc., Santa Clara, CA, USA. He will inform about: “The Status and Challenges of the EUV photomask ecosystem”. 

The second Keynote Speaker is Bryan Rice from Globalfoundries, Dresden, Germany.  He will talk about “Breaking the Paradigm: FDX Technology at Globalfoundries”.

The third Keynote Speaker is Paul Ackmann from Globalfoundries Inc., Santa Clara, USA. The subject of his presentation is: “Integral Nature of Masks through five Decades”.

Furthermore, we have invited Kurt Ronse from imec, Leuven, Belgium. He will talk about the “Recent EUV developments at IMEC”.

As every year, we have invited the authors of the Best Paper and Best Poster from BACUS 2016, and the Best Paper from PMJ 2017 to present their paper.

All together 47 papers (3 keynotes, 9 invited, 20 orals and 15 posters) will be presented.

 

The Welcome Get-Together takes place in the Piano Bar of the Hilton Hotel, Dresden, on Monday evening, June 26th , at 07:00 pm.

Following an old tradition, we would like to invite all EMLC2017 Conference Attendees and Exhibitors to join us at the Welcome Get-Together in the Hilton Hotel.

 

Tutorial
For the first time, we provide Tutorials, scheduled for Monday afternoon, June 26th.
One gives a “General introduction into lithographic imaging by projection optics”, presented by Andreas Erdmann, Fraunhofer IISB, Erlangen, Germany, whereas the second one, presented by Jo Finders, ASML Netherlands B.V., Veldhoven, treats the “Interaction of mask and scanner in EUV projection optics”.

 

Technical Exhibition
Parallel to the Conference Presentations, a Technical Exhibition will take place on Tuesday, June 27th (10:00 am to 06:00 pm) and on Wednesday, June 28th (10:00 am to 04:00 pm) where companies (mask suppliers, material suppliers and equipment suppliers) will exhibit their companies and products.
To foster the exchange between the Conference Attendees and the Exhibitors, the Exhibition Area will also be the place for all coffee and lunch breaks.

 

Conference Dinner Banquet
For Tuesday evening we have organized the Conference Banquet Dinner on the “Brühl’s Terrace” with a fantastic view over the Elbe river and the City of Dresden.


So, please enjoy the Technical Sessions of the EMLC2017 as well as the Technical Exhibition, but also allow yourself to visit the beautiful city of Dresden.


Uwe Behringer,

EMLC2017 Conference Chair

Cooperating Partners

Photomask Japan
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.