InSite

Login

Notes

Skip Navigation LinksEMLC 2017 Conference Program

EMLC 2017 - Conference Program 

Conference Program 

 Monday, June 26th, 2017

 

13:30- 16:45

The EMLC2017 Tutorial Class                  

    
13:30-15:00 General introduction into lithographic imaging by projection optics  
A. Erdmann, Fraunhofer IISB, Erlangen, Germany
15:00-15:20 Coffee Break
15:20-16:45 Interaction of mask and scanner in EUV projection optics
J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands

 

19:00 Welcome Get Together at the Piano Bar in the Hilton Hotel, Dresden                                            

 

 Tuesday, June 27th, 2017

 

09:00 Welcome and introduction to the 33rd European Mask and Lithography Conference, EMLC 2017     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany,
EMLC 2017 Conference Chair 
J. Finders, ASML, Veldhoven, The Netherlands,
EMLC2017 Conference Chair
09:10 Welcome: The Power of power-semiconductors (Invited)
Mathias Kamolz, Infineon Technologies Dresden, Germany

 

 09:30-10:30        Session 1 - 1st Plenary                   

Chair: U. Behringer, UBC Microelectronics, Ammerbuch, Germany
Co-Chair: H. Loeschner, IMS Nanofabrication AG, Vienna, Austria

 

09:30  The status and challenges of the EUV photomask ecosystem (Keynote)                                                              
 

James N. Wiley, ASML US Inc., Santa Clara, CA, USA

 
10:00

Best Paper of BACUS 2016 with updates (Invited)
MBMW-101 multi-beam mask writing performance

E. Platzgummer, IMS Nanofabrication AG, Vienna, Austria

 

10:30 Coffee Break                                            

 

11:00-12:20 Session 2 - 2nd Plenary                                                    
Chair: J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands;
Co-Chair: J. N. Wiley, ASML US Inc., Santa Clara, CA, USA

 

11:00


Breaking the paradigm: FDX Technology at GLOBALFOUNDRIES  (Keynote)

    
 

Bryan Rice, Globalfoundries, Dresden, Germany

 
11:30  Best Paper of Photo Mask Japan 2017 (Invited)
Electron beam lithographic modeling assisted by artificial intelligence Technology
 
 

N. Nakayamada, NuFlare Technology, Inc., Yokohama, Japan

 
11:55 Best Poster of BACUS 2016 (Invited):
7 nm e-beam resist sensitivity characterization 
 
Y. Toda2, A. Zweber1, S. Ito2, T. Faure1, J. Rankin1, S. Nash1,
M. Kagawa2, T. Isogawa3, R. Wistrom1
1) Globalfoundries Corporation, VT, USA
2) Toppan Photomasks, Inc., VT, USA
3) Toppan Printing Co., Ltd., Saitama, Japan

 

12:20-13:30 Lunch Break                                            

 

13:30-15:15 Session 3 – Wafer Lithography (193i and EUV)          

Session Chair: J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands;
Co-Chair:
R. Seltmann, Globalfoundries, Dresden, Germany

 

13:30

Recent EUV developments at IMEC (Invited)

    
 

K. Ronse, IMEC, Leuven, Belgium

 
13:55 Latest developments on reticle technologies and EUV illumination
facility at TNO
 
R. Verberk, N. Koster, W. Staring, TNO, The Netherlands
14:15 ILT optimization of EUV masks for 7nm and 5nm node lithography
K. Hooker1, B. Küchler2, A. Kazarian3, X. Zhou3, G. Xiao1, K. Lucas1
1) Synopsys Inc., Austin, TX, USA
2) Synopsys GmbH, Aschheim, Germany
3) Synopsys Inc., Mountain View, CA, USA
14:35 Improvements in the imaging performance of a high volume
manufacturing EUV scanner, with special emphasis on pupil optimization and the improvement limits
B. Bilski, E. Wang, F. Wittebrood, J. Mc Namara, D. Oorschot,
M. van de Kerkhof, T. Fliervoet, ASML Netherlands B.V., Veldhoven, The Netherlands
14:55 Latest developments in EUV optics
R. Gehrke, Carl Zeiss SMT GmbH, Oberkochen, Germany
E. van Setten, ASML Netherlands B.V., Veldhoven, The Netherlands

 

15:15-15:30 Coffee Break                                            

  

15:30-17:30 Session 4 – Mask Patterning, Metrology & Process                                                        
Chair: M. Tschinkl, AMTC, Dresden, Germany
Co-Chair: J. H. Peters, bmbg consult, Radebeul, Germany

 

15:30 Multi-beam mask writer MBM-1000
 

H. Matsumoto, H. Yamashita, T. Tamura, K. Ohtoshi, NuFlare Technology Inc., Japan

 
15:50 Electron beam lithography using a multi-trigger resist  
  C. Popescu1,2, A. Mc Clelland3, G. Dawson2, J. Roth4, A.P.G. Robinson4
1) Nanoscale Physics, University of Birmingham, UK.
2) School of Chemical Engineering, University of Birmingham, UK.
3) Irresistible Materials, Birmingham, UK
4) Nano-C, Westwood, MA, USA
 
16:10 Update on optical material properties for alternative EUV mask
absorber materials
F. Scholze1, C. Laubis11 K. V. Luong2,3, V. Philipsen2
1) Physikalisch-Technische Bundesanstalt, Berlin, Germany
2) IMEC, Leuven, Belgium
3) KULeuven, Belgium
16:30 Actinic EUV mask review tool status and performance update
R. Capelli, D. Hellweg, M. Dietzel, Carl Zeiss SMT GmbH, Oberkochen
16:50 The Role of model-based MPC in advanced mask manufacturing
I. Bork, P. Buck, Mentor, A Siemens Business, Fremont, CA, USA
17:10 Throughput-driven parallel datapath architecture for multibeam mask writers
N. Chaudhary, S. A. Savari, Texas A&M University, TX, USA

 

 

17:30-19:00       Session 5 - Poster Session                                                    

 

Poster Session                                   
17:30 - 19:00 
Wafer Lithography
New overlay measurement technique with an i-Line stepper using embedded standard field image alignment marks for wafer bonding applications
P. Kulse1, K. Sasai2, K. Schulz1, M. Wietstruck1
1) IHP, Frankfurt (Oder)
2) Nikon Precision Europe GmbH, Langen, Germany  
Development and traceable calibration of a new EUV reference photomask standard
G. Dai1, A. Ullrich2, K. Hahm1, H. Bosse1
1) Physikalisch-Technische Bundesanstalt, Braunschweig, Germany
2) Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany
A tool for full area mapping of the transmission of thin membranes
R. Lebert, RI Research Instruments GmbH, Bergisch Gladbach,
Germany
Limitations of spectral characterization of EUV masks and blanks: Proposition to supplement with inband mapping
R. Lebert, C. Phiesel, A. Biermanns-Föth, T. Missalla, C. Pampfer, C. Piel,
RI Research Instruments GmbH, Bergisch Gladbach, Germany
Mask Patterning, Metrology and Process
Half circle chrome loss by electrochemical effects
D. Caspary, S. Jähne, P. Nesladek, M. Kristlib, L. Bahrig, A. Feicke, M. Kaiser,
J. Lorbeer, T. Wandel, AMTC, Dresden, Germany
Characterizing electron beam induced damage in metrology and inspection of advance devices
A. Mohtashami1, V. Navarro1, H. Sadeghian1, I. Englard2, D. Shemesh2, N. Singh Malik2
1) Netherlands Organization for Scientific Applied Research, TNO, Delft, The
    Netherlands
2) Process Diagnostics and Control, Applied Materials, Israel
Aerial Image metrology for OPC modeling and mask qualification
A. Chen1, Y. M. Foong1, T. Thaler2, U. Buttgereit2, A. Chung3, A. Burbine4,
J. Sturtevant4, C. Clifford5, K. Adam5, P. De Bisschop6
1) Globalfoundries Singapore
2) Zeiss, Jena, Germany
3) Mentor Graphics, Singapore
4) Mentor Graphics, OR, USA
5) Mentor Graphics, CA,USA
6) IMEC, Leuven, Belgium
Non-IC Applications
Two photon crosslinking for the fabrication of swollen microstructures 
D. Schwärzle, O. Prucker, J. Rühe, IMTEK, University of Freiburg, Germany
Experimental verification of Sub-Wavelength Holographic Lithography physical concept for single exposure fabrication of complex structures on planar and nonplanar surfaces
M.V. Borisov , D.A. Chelyubeev, V.V. Chernik, P.A. Miheev, V.I. Rakhovskiі,
A.S. Shamaev, Nanotech SWHL Ltd., Dübendorf, Switzerland
Nano-Imprint-Lithography
High-Speed Roll-to-Roll hot embossing of PET foils using seamless Direct Laser Interference Patterning treated sleeves
A. Rank1, V. Lang1,2, A. F. Lasagni1,2
1) Technische Universität Dresden, Germany
2) Fraunhofer Institute for Material and Beam Technology, Dresden, Germany
Function Follows Form: Combining Nanoimprint and Inkjet Printing
M. Muehlberger, M. Haslinger, J. Kurzmann, A. Moharana, A. Fuchsbauer,
H. Ausserhuber, J. Kastner, D. Fechtig, Profactor GmbH, Steyr-Gleink, Austria
Mask2Wafer Metrology
Pattern sampling for etch model calibration
F. Weisbuch, A. Lutich, J. Schatz, Globalfoundries, Dresden, Germany
LMS IPRO: Enabling on-device metrology on SiN-based Phase-Shift Masks
H. Steigerwald, R. Han, A. Buettner, K.-D. Roeth, KLA-Tencor MIE GmbH, Germany
Photonics
Microlenses for CMOS-Technologies
B. Pötschick, Infineon Technologies Dresden GmbH, Dresden, Germany
Contact-free polishing and soft-lithography replication process for
3D polymer micro-optics
R. Kirchner1,3, N. Chidambaram1, M. Altana2, H. Schift1
1) Paul Scherrer Institute, LMN, Villigen, Switzerland
2) Heptagon Oy, Rueschlikon, Switzerland
3) Technische Universtität Dresden, Germany

 

19:15  Conference Dinner on the "Brühl's Terrace" or, in case of bad weather, inside the Hilton Hotel, Dresden                                               

 Wednesday, June 28th, 2017
   

  09:00-10:20       Session 6 - 3rd Plenary                                        
Chair: U. Behringer, UBC Microelectronics, Ammerbuch, Germany
Co-Chair: S. Savari, Texas A&M University, College Station, TX, USA

 

09:00 Announcement: Best Poster EMLC 2017
09:05 Integral Nature of Masks through five Decades (Keynote)

Paul Ackmann, Globalfoundries Inc., Santa Clara, USA;

Tom Faure, Globalfoundries Inc., Vermont, USA

09:35 Report on the Panel discussion of BACUS 2016 (Invited): The Impact of full-scale curvilinear ILT OPC on photomask manufacturing
 P. Buck, Mentor, A Siemens Business, Wilsonville, Oregon, USA
10:00 Report on the panel discussion of Photo Mask Japan 2017 (Invited):
"Race for volume Production" Who is closer to Goal: EUVL or NIL?
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

 

10:20-10:45  Coffee Break                                               

 

  10:45-12:20       Session 7 - Non-IC Applications                                
Chair: A. Erdmann, Fraunhofer IISB, Erlangen, Germany;
Co-Chair: V. Constantoudis, Nanometrisis, Agia Paraskevi, Greece

 

10:45

Technical trends of large-size photomasks for flat panel displays (Invited)                

    
 

K. Yoshida, HOYA Corporation, Tokyo, Japan 

 
11:20 Lithography simulation and OPC for next generation display and packaging  
  N. Ünal, D. Titko, N. Taksatorn, U. Hofmann, GenISys GmbH, Taufkirchen, Germany
 
11:40 3D grey-tone lithography using multibeam laser direct write
H. Sailer1, B. Albrecht1, C. Kaspar1, S. Martens1, N.Belic2, S. Aigner3, D. Colle3, A. Jehle3
1) Institut für Mikroelektronik, Stuttgart, Germany
2) GenISys GmbH, Taufkirchen, Germany
3) Heidelberg Instruments Mikrotechnik GmbH, Heidelberg, Germany
12:00 Challenges for scanning electron microscopy and inspection on the nanometre scale for non-IC application
J. Bolten1, K. T. Arat2, N. Ünal3, C. Porschatis1, T. Wahlbrink1, M.C. Lemme1,4
1) AMO GmbH, Aachen, Germany
2) Delft University of Technology, Delft, The Netherlands
3) GenISys GmbH, Taufkirchen, Germany
4) RWTH Aachen University, Aachen, Germany

 

12:20-13:30 Lunch Break                                            

 

13:30-14:30       Session 8 - Nano-Imprint Lithography                                                        
Chair: I. Stolberg, Vistec Electron Beam, Jena, Germany;
Co-Chair: M. Mühlberger, Profactor, Steyr, Austria

  

13:30

Shift and repeat roll-to-plate nanoimprint lithography: A novel concept for large area nanopatterning                 

    
F. Schlachter, J. Bolten, M. C. Lemme, AMO GmbH, Aachen, Germany
 13:50

SEM AutoAnalysis: enhancing photomask and NIL defect disposition and review

 
K. Schulz, A. Garetto, G. Tabbone, K. Egodage, C. Ehrlich, Carl Zeiss SMT GmbH, Jena, Germany
14:10 Challenges of nanostructure-integration in Fabry-Pérot  Interferometers as alternative to bragg reflectors - an example for match1:1-, eBeam- and nanoimprint lithography
  C. Helke1, K. Hiller1, J.W. Erben2, D. Reuter1,2, M. Meinig2,
S. Kurth2, C. Nowak3, H. Kleinjans3, T. Otto1,2
1) Technische Universität Chemnitz, Chemnitz, Germany
2) Fraunhofer Institute for Electronic Nano Systems (ENAS), Chemnitz, Germany
3) AMO GmbH, Aachen, Germany
 

 

 14:30-15:35        Session 9 - Mask2Wafer Metrology                                          

Chair: J. H. Peters, bmbg consult, Radebeul, Germany
Co-Chair: F. Weisbuch, Globalfoundries, Dresden, Germany

 

14:30 Computational nanometrology of line edge roughness: Recent challenges and advances (Invited)

V. Constantoudis1,2, G. Papavieros1,2, G. Lorusso3, E. Gogolides1,2
1) Institute of Nanoscience and Nanotechnology, N.C.S.R. Demokritos, Agia Paraskevi, Greece
2) Nanometrisis, Agia Paraskevi, Greece
3) IMEC, Leuven, Belgium 

 
14:55 Advanced metrology by offline SEM data processing    
A. Lakcher1,2, L. Schneider1,2, B. Le-Gratiet1, M. Besacier2
1) STMicroelectronics, Crolles Cedex, France
2) Université de Grenoble Alpes, Grenoble, France
3) CEA LETI, Grenoble, France 
 
15:15 Contour based etch modeling enablement: from pattern selection to final verification
J. Schatz, F. Weisbuch, A. Lutich, Globalfoundries, Dresden, Germany

 

15:35-16:00 Coffee Break                                            

 

   16:00-16:40      Session 10 - Using the Data/ Big Data                     

Chair: B. Le Gratiet, STMicroelectronics, Crolles, France;
Co-Chair: I. Kapilevich, Applied Materials Inc., USA

 

16:00

Splendidly blended: a machine learning set up for CDU control            

    
 

C. Utzny, Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany

 
16:20 Machine Learning for fab automated diagnostics  
  M. Giollo1, A. Lam2, D. Gkorou1, X. L. Liu1, R. van Haren1
1) ASML Netherlands B.V., Veldhoven; Netherlands
2) STMicroelectronics Crolles, France
 

 

16:40 Farewell and Announcement of EMLC2018
U. Behringer, UBC Microelectronics, Ammerbuch, Germany
16:50 End of EMLC2017Conference

 

 Cooperating Partners

Photomask Japan
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.