EMLC 2018 

Foreword 

 

34th European Mask and Lithography Conference,

EMLC 2018

at the MINATEC Conference Center in Grenoble, France

June 18 - June 20, 2018 

 

On behalf of VDE/VDI-GMM, the EMLC2018 Sponsors, and the EMLC2018 Organizing  Committee, we would like to welcome you to the 34th European Mask and Lithography Conference, EMLC2018, at the MINATEC Conference Centre in the City of Grenoble, France.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology.

The two and a half days conference (starting on Monday June 18th at 02:00 PM in the MINATEC Conference Centre with a Tutorial Session (ending at 05:00 PM).
At 5:00 PM a short Welcome Reception and the Poster Session will take place till about 6:00 PM. The Poster Session will continue on Tuesday afternoon.

The Technical Sessions (including the second part of the Poster Session) of the EMLC2018 Conference starts on Tuesday, June 19th from 09:00 AM till 06:45 PM), and on Wednesday, June 20th from 09:00 AM till 06:15 PM.
The EMLC2018 conference is dedicated to the science, technology, engineering and application of mask and lithography technologies and associated processes,
giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results.

 

This year the EMLC2018 Program Committee defined the following sessions: 

  • "Wafer Lithography – 193i and EUV";
  • "Mask-Less Lithography, Nano-Imprint Lithography, and Directed Self Assembly"; 
  • "Mask Patterning, Metrology and Process”;
  • "Non-IC Applications, Plasmonics and Photonics";
  • "Mask2Wafer and Wafer2Wafer Metrology" ; and
  • "Using Big Data / Deep Learning". 

Of course, papers outside these predefined areas of interest were welcome for submission as well. 

As Welcome Speaker from the City of Grenoble Mme Marie-José Salat, representative of Grenoble-Alpes Métropole, will demonstrate the importance of Grenoble as one of the three "High Technology Centres in Europe". Besides Dresden and Leuven/Eindhoven, Grenoble, Europe’s Smart Valley, offers thousands of jobs in computing & software as well as in Micro-Nanotechnology & Electronics.  


Regarding the Tutorial Session on Monday afternoon, we asked Paul van Adrichem, from ASML Netherlands B.V., Veldhoven, Netherlands to give a "Review of OPC / RET / SMO".
This tutorial will provide an overview of advanced Optical Proximity Correction, Resolution Enhancement Technology as well as Source-Mask-Optimization.

As second tutor we asked Aviram Tam, from Applied Materials PDC Israel. He will explain the "Inspection Challenges in the EUV Area".

This tutorial will cover the use cases for blank inspection, pattern inspection, outgoing inspection and the possible solution for DUV mask inspection ,e-Beam MI, Actinic blank inspection, on-wafer qualification and how those evolve in each of the different scenarios for pellicle (no pellicle/detachable/13.5nm only/193nm friendly). The tutor will be from the Mask Inspection Product Group of Applied Materials, Israel. 

As first Keynote Speaker we have invited Olivier Noblanc from STMicroelectronics, Crolles, France. He will talk about “Technology for Optical Sensors”.

The second Keynote Speaker is Laurent Pain from CEA-Leti, Grenoble, France. His presentation is entitled: "The Battle Field of Lithography". 

The third Keynote Speaker is Frédéric Boeuf from STMicroelectronics, Crolles, France. He will present the newest technologies on "Silicon Photonics: from research to industrial reality".

There are several invited talks: on Multi-Beam Mask Writing, on Multi-Trigger Resist for Electron Beam and Extreme Ultraviolet Lithography, on Photonic Superlattice Multilayers for EUV Lithography Infrastructure, on EUV Pellicle Industrialization Progress, on Mask-Less Lithography, on Wafer-Level UV-Nanoimprint Lithography, on Directed Self-Assembly, on Lithography Technology and Trends for More than Moore Devices, on Reticle Critical Dimension Uniformity Improvement, and on Automatic Defect Classification of SEM images using Deep Learning.
 
As every year, we have invited the authors of the Best Poster of BACUS (SPIE Photomask Technology) 2017 and we will invite the Best Paper from Photo Mask Japan 2018 to present their papers.


Technical Exhibition
Parallel to the Conference Presentations, a Technical Exhibition will take place on Monday (5:00 PM to 6:00 PM) continuing on Tuesday (10:00 AM to 06:00 PM) and on Wednesday (10:00 AM to 04:00 PM) where companies (mask suppliers, material suppliers and equipment suppliers) will exhibit their companies and products.
To foster the exchange between the conference attendees and the exhibitors, the exhibition area will also be the place for all coffee and lunch breaks.

 
Conference Dinner Banquet
For Tuesday evening, June 19th, after the Poster Session we have organized the Conference Banquet Dinner at the Bastille”, 300 meters above Grenoble with a fantastic view over the City.
 
So, please enjoy the Tutorial and the Technical Sessions of the EMLC2018 as well as the Technical Exhibition, but also allow yourself to visit the beautiful city of Grenoble.

Uwe Behringer, Jo Finders

EMLC2018 Conference Chairs

Media Partner

Cooperating Partners

 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.