InSite

Login

Notes

Skip Navigation LinksEMLC 2016 Conference Program

EMLC 2016 - Conference Program 

Conference Program 

 Tuesday, June 21st, 2016

09:00 Welcome to the 32nd European Mask an Lithography Conference, EMLC 2016     
J. Finders, ASML, Veldhoven, The Netherlands,
EMLC2016 Conference Chair

U. Behringer, UBC Microelectronics, Ammerbuch, Germany,
EMLC 2016 Program Chair 

 

         Session 1 - Plenary Session I                                                       
Session Chairs:
R. Seltmann, Globalfoundries, Dresden, Germany;
J. Finders, ASML, Veldhoven, The Netherlands

09:15  Welcome and Keynote  Speaker I (Invited)                                                              
The Semiconductor Industry in Transition: A European Perspective  
 

R. Wijburg, Globalfoundries, Dresden, Germany

 
09:45 Keynote Speaker II (Invited)
Challenges and Prospects of Next Generation Masks
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan
10:15 Best Poster BACUS 2015 (Invited)
Actinic Review of EUV Masks: Performance Data and Status of the AIMSTM EUV System 
S. Perlitz, Carl Zeiss SMS GmbH, Jena, Germany
10:35 Best Paper of PMJ 2016 (Invited)
Etched multilayer EUV mask fabrication for sub-60nm pattern based on effective mirror width
N. Iida, K. Takai, T. Kamo, Toshiba Corporation, Y. Morikawa, N. Hayashi, Dai Nippon Printing Co., Ltd. Saitama, Japan

 

10:55-11:25 Coffee Break                                            

         Session 2 - Plenary Session II                                                    
Session Chairs:
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany;
M. Tschinkl, AMTC, Dresden, Germany

11:25

Keynote Speaker III (Invited)
Computational Lithography and Applications in Process Window Enhancement and Control

    
 

 Y. Cao, ASML Brion, San José, CA, USA

 
11:55  Temperature mapping (thermal deformation) and sample/wafer positioning using integrated photonics (Invited)  
 

R. Evenblij,Technobis Fibre Technologies, The Netherlands

 
12:15 Fabrication of InP based photonic integrated circuits using a foundry model (Invited)  
N. Grote, F. M. Soares, M. Baier, V. Dolores-Calzadilla, Z. Zhang, Fraunhofer Institute for Telecommunications, Heinrich-Hertz-Institute, Berlin, Germany

 

12:35-14:00 Lunch Break                                            

 

13:15-14:00 Poster Session                                          

         Session 3 – Wafer Lithography                                           
Session Chairs: 
R. Seltmann, Globalfoundries, Dresden, Germany
C. Jaehnert, Infineon Technologies AG, Dresden, Germany;

14:00

Load dependent overlay signature variation caused by hardware outside litho                  

    
 

M. Ruhm, T. Hertzsch, S. Thierbach, E. Cotte, M. Thakur, D. Prochnow, B. Schulz, R. Seltmann, Global Foundries Dresden, Germany

 
14:20 Impact of electrode area ratio of a bipolar electrostatic chuck on long termstability of wafer potential  
O. Waldmann, M. Ziemann, Berliner Glas KGaA, Berlin
14:40 Improving contact layer patterning using SEM contour based etch model
F. Weisbuch, A. Lutich, J. Schatz, T. Hertzsch, H.-P. Moll, Globalfoundries, Dresden, Germany
15:00 A thick photoresist process for high aspect ratio MEMS applications
E. Laforge, R. Anthony, P. Mc Closkey, C. O'Mathùna, Tyndall National Institute, University College Cork, Dyke Parade, Cork, Ireland

  

         Session 4 – Mask Patterning, Metrology & Process                                                        
Session Chairs: 
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
K.-D. Roeth, KLA-Tencor MIE, Weilburg, Germany

15:20

Advanced Photomask fabrication by e-beam lithography for mask aligner application                    

    
 

T. Weichelt1, Y. Bourgin2, M. Banasch, U.D. Zeitner3
1 Friedrich-Schiller-University Jena
2 Vistec Electron Beam GmbH, Jena
3 Fraunhofer-Institute for Applied Optics and Precision Engeneering, Jena

 
15:40 Mask Manufacturing of Advanced Technology Designs using Multi-Beam Lithography  
  M. Green1, Y. Hama1, B. Dillon1, B. Kasprowicz1, I. B. Hur1, J. H. Park1, Y. Choi1, J. McMurran1, H. Kamberian1, D. Chalom2, J. Klikovits2, M. Jurkovic2, P. Hudek2
1 Photronics, Inc., Boise, ID, USA 
2 IMS Nanofabrication AG, Vienna, Austria
 

 

 

16:00-16:30 Coffee Break                                           

 

 

         Session 4 – Mask Patterning, Metrology & Process  (continued)                                                       

16:30 A Parallel Multibeam Mask Writing Method and its Impact on Data Volumes                                                              
 

N. Chaudhary, Y. Luo, S. A. Savari, Texas A&M University, USA

 
16:50 YieldStar based reticle 3D metrology
V. Vaenkatesan, J. Finders, P. ten Berge, R.  Plug, A. Sijben,
T. Schellekens, H. Dillen, W. Pocobiej, V. Guerreiro Jorge; J. van Dijck, ASML Netherlands B.V., Veldhoven, The Netherlands
17:10 Towards expanding megasonic cleaning capability
Z. Han1, R. Garcia1, B. Ferstl2, G. Oetter2, U. Dietze3,  M. Samayoa3,
D. Dattilo3

1 MP Mask Technology Center, LLC., Micron Teconology Inc., Boise, ID,
   USA
2 BASF SE, Ludwigshafen, Germany
3 SUSS Micro Tec Inc., a SÜSS MicroTec AG Company, Corona, CA, 
   USA

 

         Session 5 – Novel Approaches                                                         
Session Chairs: 
A. Ehrmann, Carl Zeiss SMT, Oberkochen, Germany;
H. Wolf, Photronics MZD GmbH, Dresden, Germany

17:30

The future of 2D metrology for display manufacturing (Invited)                  

    
 

 T. Sandstrom, Mycronic AB, Taby, Sweden

 
18:00 Control the Light Where You Need It; New Development in Accurate Delivery of Visible Laser Light  
  D. Geuzebroek, J. van Kerkhof, XiO Photonics, Enschede, the Netherlands; A. Leinse, LioniX, Enschede, the Netherlands  
18:20 End of the Day Presentations

 

19:15  Meet in the Lobby of the Hilton Hotel                                                     
19:30 Conference Dinner at the Pulverturm

 

 Wednesday, June 22nd, 2016 

 

 

         Panel Session Reports                                                     

Session Chair:
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

08:30

Report on Panel Session of BACUS 2015 (Invited)                  

    
On EUV Mask Readiness: Do we finally kick the Ball?
 

B. Kasprowicz, Photronics Inc., Boise, ID, USA

 
08:45 Report on Panel Session of PMJ 2016 (Invited)
EUV, MPT, NIL, what Challenges lie ahead for masks?
  N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan  

 

         Session 6 - EUV1                                                     

Session Chairs:

S.Wurm, ATICE LLC, Albany, NY, USA
J.Finders. ASML, Veldhoven, The Netherlands

 

09:00

Illumination optimization in 0.33NA EUVL and its interplay with lithographic metrics such as contrast and overlapping process window for dark field 2-bar logic M1 building blocks (Invited)                                                                 

    

T. Last, P. Van Adrichem, L. de Winter, J. Finders, ASML Netherlands B.V., Veldhoven, The Netherlands

 
09:30 Boron carbide (BC) as a promising capping material for improvement of EUV pellicle  
H. C. Jeon, S. Kwon, M. J. Kim, Y. Jung, J. Kim, C. Y. Jeong, H. Kim,
C.-U. Jeon, Samsung Electreonics Co. Ltd. Republic of Korea
 
09:50 Anamorphic imaging at high NA EUV
G. Bottiglieri, T. Last, A. Colina, E. van Setten, G. Rispens, J. van Schoot, K. van Ingen Schenau, ASML Netherlands B.V., Veldhoven,
The Netherlands
10:10 EUV Pellicle and Mask Metrology for High Volume Manufacturing
C. Perera, EUV Tech Inc., Martinez CA, USA

 

 10:30-11:00   Coffee Break                                            

 

         Session 7 – EUV-2 -  Advanced 193i                                           
Session Chairs: 
R. Seltmann, Globalfoundries, Dresden, Germany;
T. Scheruebl, Carl Zeiss SMT, Jena, Germany

11:00 Characterization of optical material properties for alternative EUV mask absorber materials                  
 

F. Scholze1, C. Laubis1, V. Luong2,4, E. Hendrickx2, V. Philipsen2, Arash Edrisi3, R. van de Kruijs3
1 Physikalisch-Technische Bundesanstalt, Berlin, Germany
2 imec, Leuven, Belgium
3 University of Twente, Enschede, The Netherlands,
4 KU Leuven, Belgium

 
11:20 Process Improvements with Lower Bandwidth Light Sources and the Impact of Reduced Bandwidth Variation  
  W.  Conley1, P. Alagna2, G. Rechtsteiner1, S.  Hsu3, Q. Zhao3,
V. Timoshkov4, J. Baselman4, P. Wong5
1 Cymer LLC, San Diego, CA, USA
2 Cymer LLC, Leuven, Belgium
3 ASML Brion, San José, CA, USA
4 ASML, Veldhoven, The Netherlands
5 IMEC, Leuven, Belgium
 

 

         Session 8 – Photonics                                    
Session Chairs:
J. Pozo, EPIC, Brussels, Belgium;
A. Erdmann, Fraunhofer IISB, Erlangen, Germany

11:40 Photonic chip design and manufacturing                    
  T. Korthorst, M. van der Vliet, A. Bakker,  PhoeniX Software, The Netherlands; R. Stoffer, PhoeniX BV, The Netherlands  
12:00  High Performance Gratings for DFB-Lasers fabricated by Direct-Write E-beam Lithography  
  R. Steingrueber, Z. Zhang, Fraunhofer Institut für Nachrichtentechnik, Heinrich Hertz Institut, Berlin, Germany  
12:20 Photonic Integrated Circuits: New Challenges for Lithography
J. Bolten, T. Wahlbrink, A. Prinzen, C. Porschatis, H. Lerch, A. Lena Giesecke, AMO GmbH, Aachen, Germany

 

 12:40-14:00 Lunch Break                                            

  13:15-14:00 Poster Session                                           

 

         Session 9 – Nano-Imprint Lithography                                                          
Session Chairs:
N. Hayashi, Dai Nippon Printing Co. Ltd., Saitama, Japan;
M. Muehlberger, Profactor GmbH, Steyr-Gleink, Austria

14:00 Nanoimprint System Development and Status for High Volume Semiconductor Manufacturing                         
 

H. Hiura1, Y. Takabayashi1, T. Takashima1, K. Emoto1, J. Choi2,
P. Schumaker2
1 Canon Inc, Tochigi, Japan
2 Canon Nanotechnologies, Inc., Austin, TX,,  USA

 
14:20 SCIL Nanoimprint Solutions; high volume soft NIL for wafer scale sub-10nm resolution  
  R. Voorkamp, M.A. Verschuuren, R. van Brakel, Philips Group Innovation, Intellectual Property & Standards, Eindhoven, The Netherlands  
14:40 A Roll-to-Plate UV-nanoimprint tool for micro and nano-optical applications
L.  Yde1, J. Stensborg1, T. Voglhuber², W. Hackl²,³, H. Außerhuber²,
S. Wögerer², L. Lindvold1, T. Fischinger², M. Mühlberger²
1 Stensborg A/S, Roskilde, Denmark
2 Profactor GmbH, Steyr-Gleink, Austria
3 Forster Verkehr- und Werbetechnik GmbH,   Waidhofen an der Ybbs,
   Austria

 

 15:00-15:30 Coffee Break                                            

         Session 10 – Modeling and Computational Process Correction                                            
Session Chairs:
A. Erdmann, Fraunhofer IISB, Erlangen, Germany;
G. Fenger, Mentor Graphics, Wilsonville, OR, USA

15:30 Exploration of alternative absorber materials for EUV lithography: A simulation study     
  A. Erdmann1, D. Xu1, P. Evanschitzky1, V. Luong2,3, V. Philipsen2,
E. Hendrickx2
1 Fraunhofer IISB, Erlangen, Germany
2 IMEC, Leuven, Belgium
3 KU Leuven, Belgium
 
15:50  Enhancing EUV mask blanks usability through smart shift and blank-design pairing optimization  
  R. K. Soni1, S. Paninjath1, M. Pereira1, P. Buck2
1 Mentor Graphics India Pvt. Ltd., Bangalore, India
2 Mentor Graphics Corp., Wilsonville, OR, USA
 
16:10 Simulation model of evaporation, shrinkage and deformation inside chemically amplified photoresists
T. Muelders, H.-J Stock, W. Gao, W. Demmerle, Synopsys GmbH, Germany and Belgium

 

        Session 11 – Using the Data                             
Session Chairs:
J. H. Peters, Carl Zeiss, SMT Jena, Germany;
B. Kasprowicz, Photronics Inc., Boise, ID, USA

16:30 Translation of lithography variability into after-etch performance: monitoring of “golden" hotspot                                           
J. Finders, T. Kiers, ASML Netherlands B.V., Veldhoven, The Netherlands;
B. le Gratiet, STMicroelectronics, Crolles, France;
A. Lakcher, STMicroelectronics, Crolles, France, LTM CNRS, Grenoble, France
16:50 

Smart mask ship to control for enhanced on wafer CD performance

 
C. Utzny, AMTC, Dresden, Germany;
R. Seltmann, Globalfoundries, Dresden, Germany

 

         Session 12 – More than Moore, IoT & Manufacturing Challenges   
Session Chairs:
U. Behringer, UBC Microelectronics, Ammerbuch, Germany;
B. Le Gratiet, STMicroelectronics, Crolles,  France

17:10 Smart Integrated Systems – hardware basis for the IoT                 
  D. Reuter, Fraunhofer ENAS, Chemnitz, Germany; M. Vogel, K. Hiller, Chemnitz University of Technology, Germany; T. Gessner, Fraunhofer ENAS, Chemnitz, Germany  
17:30 CHAM: Weak signals detection through a new multivariate algorithm for process control  
 

F. Bergeret1, C. Soual1, B. Le Gratiet2
1 Ippon Innovation, Toulouse, France
2 STMicroelectronics, Crolles, France

 
17:50 Farewell 
18:00 End of Conference

 

Poster Session                                   
13:15 – 14:00 on
Tuesday, June 21st and Wednesday, June 22nd, 2016
Wafer Litho
SRAF insertion for VIA-like layers using laSRAF method
A. Lutich, Globalfoundries, Dresden, Germany

EUV Lithography 
Contrast: EUV Lithography
E. Psara, J. Lubkoll, F. Wittebrood, E. van Setten, J. Finders, S. Wuister, T. Last,
G. Rispens, D. Oorschot, ASML Netherlands B.V., Veldhoven, The Netherlands
Researching new EUV pellicle films for source powers beyond 250 watts
M. Nasalevich, P. J. van Zwol, E. Abegg, P. Voorthuijzen, D. Vles, M. Péter, W. van der Zande, H. Vermeulen, ASML Netherlands B.V., Veldhoven, The Netherlands
Nano-Imprint Lithography
NIL Industrial Platform assessment within INSPIRE project
H. Teyssedre, S. Landis, S. Bos, L. Pain, CEA-LETI, Grenoble, France; V. Schauer, M. Laure, W.  Zorbach, C. Thanner, G. Mittendorfer, M. Eibelhuber, M. Wimplinger, EV Group E.Thallner GmbH, St. Florian am Inn, Austria
Modeling and Computional Process Correction
Bayesian Analysis for OPC Modeling
A. Burbine, G. Fenger, J. Sturtevant, D. Fryer,  Mentor Graphics Corporation, Wilsonville, OR, USA
Simulation flow and layout printability for laser direct write lithography
T. Onanuga, Fraunhofer IISB & Friedrich-Alexander University, Erlangen-Nuremberg, Germany; A. Erdmann, Fraunhofer IISB, Erlangen, Germany
Evaluation of photomask shape uncertainties on level-set-based inverse lithography with a rigorous mask model
X. Wu1, 2, A. Erdmann2, T. Fuehner2, E. Y. Lam1
1 The University of Hong Kong, Pokfulam, Hong Kong 
2 Fraunhofer IISB, Erlangen, Germany
Using the Data, More than Moore, IoT & Manufacturing Challenges
A study of SU-8 photoresist in deep trenches for silicon-embedded microinductors
E. Laforge, C. Rabot, N. Wang, Z. Pavlovic, P. McCloskey, C. Ó Mathúna, Tyndall National Institute, Ireland

Industrial implementation of spatial variability control by real time SPC
O. Roule, F. Pasqualini, M. Borde, STMicroelectronics, Crolles, France

Combination of Direct Laser Writing and PDMS molds for nano- and microfabrication
M. Rumler 1, 2, 3, L. Baier4, F. Michel4, M. Becker5, M. Foerthner1, 3 ,
M. Rommel4
1 Electron Devices (LEB), University of Erlangen-Nuremberg, Germany
2 Cluster of Excellence Engineering of Advanced Materials (EAM), Erlangen,
   Germany
3 Graduate School in Advanced Optical Technologies (SAOT), Erlangen, 
  Germany
4 Fraunhofer Institute for Integrated Systems and Device Technology (IISB), 
  Erlangen, Germany
NanoWorld Services GmbH, Erlangen, Germany
CD process control through machine learning
C. Utzny, AMTC, Dresden, Germany

Cooperating Partners

Photomask Japan
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.