InSite

Login

Notes

Skip Navigation LinksEMLC 2013 Foreword

EMLC 2013 Foreword 

Foreword 

Welcome to the EMLC 2013 in Dresden

29th European Mask and Lithography Conference EMLC 2013
being held from
Tuesday June 25th (1:00 pm) to Thursday 27th (1:00 pm) 2013
at the Hilton Hotel, Dresden, Germany

On behalf of VDE/VDI-GMM, the Sponsors, and the Organizing Committee, we would like to welcome you to the 29th European Mask and Lithography Conference, EMLC2013 at the Hilton Hotel in the City of Dresden, Germany.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology.

The 2½ day conference (Tuesday 1:00 pm to Thursday 2:00 pm) is dedicated to the science, technology, engineering and application of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year’s sessions include: “EUV Tooling & Further Lithography Options”, “Optical Lithography & APC”, “Mask Materials” “E-beam Lithography”, “Metrology & Inspection”, “Resolution Enhancement & DFM.

As Welcome Speaker we are pleased to announce Dr. G. Teepe, from GLOBAL-FOUNDRIES Inc., Dresden. 

Our first keynote speaker is Dr. H. Morimoto from Toppan Printing Co. Japan. His presentation is entitled “Photomask Technology Progress to meet with Electronics Product Requirements”.

Our second keynote speaker is Dr. H.J. Levinson from GLOBALFOUNDRIES. His presentation is entitled “The Lithographer’s Dilemma: Shrinking without Breaking the Bank”.

As a tradition we invited the Best Paper of PMJ 2013 and the Best Poster from BACUS2012
to present their papers at the EMLC2013.


Panel Session

On Wednesday afternoon we will organize a Panel Session: ”EUVL – what else?”. The panelists are: H. Morimto from Toppan; H.J. Levinson from GLOBALFOUNDRIES;
F. Goodwin from SEMATECH; N. Hayashi, from DNP; J. Finders from ASML; J.H. Peters from Carl Zeiss, SMS.
S. Wurm from SEMATECH will act as Session Chair and Moderator.   
 

Technical Exhibition

Parallel to the Conference Presentations, a Technical Exhibition will take place on Tuesday afternoon (1:00 PM), whole Wednesday and Thursday morning (1:00 PM) where companies (mask suppliers, material suppliers and equipment suppliers) will exhibit their companies and products. Right now the exhibitor companies are: Vistec E-Beam; Photronics; Entegris; Nuflare; PI; Pozzetta; Carl Zeiss; JEOL; S3Alliance; Sistem; ibss Group and EQUIcon will inform about their products and support.
To foster the exchange between the conference attendees and the exhibitors, the exhibition area will also be the place for all coffee and lunch breaks.


Welcome Reception and Conference Dinner Banquet

On Tuesday evening we will organize a Get Together Welcome Reception at the Piano Bar in the Hilton Hotel.

On Wednesday evening the EMLC2013 Conference Banquet Dinner will take place on board of the “Paddle Steamer “Leipzig” cruising on the Elbe River.

 

     

So, please enjoy the Technical Sessions of the EMLC2013 as well as the Technical Exhibition, but also allow yourself to visit Dresden, one of the most beautiful cities in Europe.

 

Conference Chair: Dr. Uwe Behringer, UBC Microelectronics, Ammerbuch, Germany

Cooperating Partners

Photomask Japan
Silicon Saxony
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.