InSite

Login

Notes

Skip Navigation LinksEMLC 2015 Conference Program

EMLC 2015 - Conference Program 

Conference Program 

 

 Monday, June 22nd, 2015

08:00-
08:50
Welcome Coffee in the Winteruin Hall (at the Technical Exhibition)     
U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair
09:00 Welcome and Introduction  

U. Behringer, UBC Microelectronics, Ammerbuch, Germany, Conference Chair

09:15 Invited Welcome Speaker from Eindhoven
Rob van Gijzel, Mayor of the City of Eindhoven
09:45 Invited Welcome Speaker from ASML From Shed to Global Leader, ASML in the Brainport of the Netherlands
Lucas van Grinsven, Head of Communication, ASML, The Netherlands

 

         Session 1 - Plenary Session I                                                       
Session Chairs:
J. Finders, ASML, Veldhoven, The Netherlands; 
R. Seltmann, Globalfoundries, Dresden, Germany

10:15  Keynote Speaker I (Invited) EUV Lithography into High Volume Manufacturing: “WHEN”, not “IF”                                                         
H. Meiling, ASML, The Netherlands  
11:00 Coffee Break in the Winteruin Hall (at the Technical Exhibition)

 

         Session 2 - Plenary Session II                                                    
Session Chairs:
B. Connolly, Toppan Photomasks GmbH, Dresden, Germany;
P. Buck, Mentor Graphics Corporation, Wilsonville, OR, USA

11:30 

Keynote Speaker 2 (Invited)
Scaling Trends and Options: Plenty of Reasons to be Hopeful

    
  G. Mcintyre, imec, Leuven, Belgium  
12:10 MEMS Market and Technology trends: Bringing Challenges for advanced Packaging Lithography (Invited)  
  C. Troadec, Yole Development, Lyon-Villeurbanne, France  
12:30 Best Paper of PMJ 2015 (Invited)
Study of Defect Verification Based on Lithography Simulation with a SEM System
 
S. Yoshikawa, Dai Nippon Printing Co., Ltd., Japan
12:50 Best Poster BACUS 2014 (Invited)
Using rule-based Shot Dose Assignment in model-based MPC application
I. Bork, Mentor Graphics, San José, USA
13:10 Lunch Break in the Winteruin Hall (at the Technical Exhibition)

 

         Session 3 – Patterning & Process Characterization                                           
Session Chairs:
J. Wiley, ASML US, Inc., Santa Clara, CA, USA;
U. Behringer, UBC Microelectronics, Ammerbuch, Germany

14:20

Mask and Lithography Techniques for FPD (Invited)                      

    
  T. Sandström, Micronic Laser Systems, Täby, Sweden  
14:50  SLM based Semiconductor Mask Writer  
  S. Diez, A. Jehle, Heidelberg Instruments Mikrotechnik GmbH, Heidelberg, Germany  
15:10 A Lossless Layout Image Compression Algorithm for Electron-Beam Direct-Write Lithography
N. Chaudhary, Y. Luo, S.A. Savari, Texas A&M University, USA
15:30 Advanced Process Characterization of a 10nm Metal 1 Logic Layer using Light Source Modulation and Monitoring
P. Alagna1, O. Zurita2, V. Timoshkov4, P. Wong5, G. Rechtsteiner2,
J. Baselmans4, J. Mailfert5,
W. Conley2, S. Hsieh3
1) Cymer LLC, Leuven, Belgium
2) Cymer LLC, San Diego, CA, USA
3) Cymer LLC, HsinChu City, Taiwan R.O.C
4) ASML Netherlands B.V., Veldhoven, The Netherlands
5) imec, Leuven, Belgium

 

         Session 4 – DSA                                                        
Session Chairs:
L. van Look, IMEC, Leuven, Belgium;
J. Bekaert, imec vzw, Leuven, Belgium

15:50   

N7 Logic Via Patterning using Templated DSA: Implementation Aspects (Invited)

    
 

J. Bekaert1, J. Doise1,2, R. Gronheid1,
J. Ryckaert1, G. Vandenberghe1, Y.J. Her3, Y. Cao3 
1) imec vzw, Leuven, Belgium
2) K.U. Leuven, Leuven, Belgium
3) Merck Performance Materials, Branchburg, NJ, USA

 
16:20 Skeleton-Based OPC Application for DSA full Chip Mask Correction  
  L. Schneider, V. Farys, E. Serret, ST Microelectronics, Crolles, France  
16:40 Directed Self-Assembly for 15nm HP and Beyond
Y. Cao, S.-E. Hong, JH. Kim, E. Hirahara, G. Lin, EMD Performance Materials Corp., Somervile, NY, USA
17:00 Coffee Break in the Winteruin Hall (at the Technical Exhibition)

 

           Session 5 – Poster Session                                                        

17:30-18:40  

(in the Winteruin Hall, at the Technical Exhibition)

    
 

Direct Laser Writing at 1nm Resolution by Quantum Optical Lithography

 
E. Pavel, Storex Technologies, Bucharest, Romania; S. I. Jinga, Bogdan S. Vasile, University of Bucharest, Romania; A. Dinescu, National Institute for R&D in Microtechnologies, Bucharest, Romania; V. Marinescu, National Institute for R&D in Electrical Engineering, Bucharest, Romania; R. Trusca, METAV R&D, Bucharest, Romania; N. Tosa, National Institute for R&D of Isotopic and Molecular Technologies, Cluj-Napoca, Romania  
  Reverse Replication of Circular Micro Grating Structures with soft Lithography  
X. Shang, J. Missinne, N. Teigell Beneitez, M. Jablonski, J. De Smet, P. Joshi, D. Cuypers, imec and Ghent University; M. Vervaeke, H. Thienpont, Vrije Universiteit Brussel, H. De Smet, imec and Ghent University
Characterization of Optical Material Parameters for EUV Lithography Applications at PTB
C. Laubis, A. Haase, V. Soltwisch, F. Scholze, Physikalisch-Technische Bundesanstalt, Berlin, Germany
Molecular Dynamics Study on Polymer Orientation phenomena in Nanoimprint Lithography
K. Tada, National Institute of Technology, Toyama College, Toyama, Japan; M. Yasuda, H. Kawata, Y. Hirai, Osaka Prefecture University, Osaka, Japan
Quickly Identifying and Resolving Particle Issues in Photolithographic Scanners
A. Jackson, CyberOptics Corporation, Golden Hills, Golden Valley, Minnesota, USA
A selected number of posters from ASML internal technology conference, held on Wednesday after EMLC,  will be shown as well.
It provides an excellent opportunity to discuss  relevant topics in more detail with the authors.
A list of the poster titles will be published on the web page in the next weeks.

 

19:00 Meet in the Lobby of the Pullman Hotel                                     
   
19:10  Walk to the Philips Light Museum  
   
19:30 Dinner at the Philips Light Museum  

 

 Tuesday June 23rd, 2015 

08:00 - 08:40 Wake Up Coffee in the Winteruin Hall(at the Technical Exhibition)                                                                                         

          Session 6 – EUV Lithography                                            
Session Chairs:
J. H. Peters, Carl Zeiss SMS GmbH, Jena, Germany;
J. Bekaert, imec, Leuven, Belgium

08:40    EUV Pellicle complete solution (Invited)                  
  C. Zoldesi, B. Verbrugge, B. Verhoeven,
D. Smith, D. Brouns, E. van Setten, E. Casimiri, E. Bogaart, J. Zimmerman, K.  Ricken,
H. Harrold, G. Bock, G.  van den Bosch,
J. de Hoogh, J. Hofman, J. Lima, M. Jansen,
M. Leenders, M. Kruizinga, N. Harned,
P. Broman, P. Janssen, P. Colsters, P. Limpens,  P. Peterson, R. de Kruif, S. Houweling, T. Maas, ASML Netherlands B.V., Veldhoven, and ASML Wilton, CT, USA
 
09:10  Experimental Validation of Novel EUV Mask Technology to Reduce Mask 3D Effects  
  L. Van Look, V. Philipsen, E. Hendrickx, imec, Leuven, Belgium; N. Davydova, F. Wittebrood, R. de Kruif, A. van Oosten, J. Miyazaki, T. Fliervoet, J. van Schoot, ASML BV, Veldhoven, The Netherlands; J.T. Neumann, Carl Zeiss SMT GmbH, Oberkochen, Germany  
09:30 Understanding the Litho-impact of Phase due to 3D Mask-Effects when using off-axis illumination  
L. de Winter, T. Last, N. Davydova, J. Finders, ASML, Netherlands B.V., Veldhoven, The Netherlands
09:50 Understanding of Out-of-Band DUV light in EUV Lithography: Controlling Impact on Imaging and Mitigation Strategies
N. Davydova, R. Kottumakulal, J. Hageman,
J. McNamara, R. Hoefnagels, V. Vaenkatesan, A. van Dijk, K. Ricken, L. de Winter; T. Hollink,  R. de Kruif, G. Schiffelers, E. van Setten,
P. Colsters, W. Liebregts, H. Ashraf, R. Pellens,
J. van Dijk, ASML Netherlands B.V.,Veldhoven, The Netherlands
10:10 Coffee Break in the Winteruin Hall (at the Technical Exhibition)

 

             Session 7 – Mask Preparation and OPC Technologies                                    
Session Chairs:
J.N. Wiley, ASML Inc., Santa Clara, CA, USA
P. Buck, Mentor Graphics Corporation, Wilsonville, OR, USA

10:40    Mask Proximity Compensation for Advanced Optical and EUV Reticles (Invited)                                                         
                
    
  P. Buck, Mentor Graphics Corporation, Wilsonville, Oregon, USA;  I. Bork, L. Wang, Mentor Graphics Corporation, Fremont, CA, USA  
11:10  Optimization of rule-based OPC Fragmentation to Improve Wafer Image Rippling  
  J. Wang, Globalfoundries Inc., Dresden, Germany; A. Wei, Mentor Graphics Corporation, Malta, NY, USA; P. Verma, W. Wilkinson, Globalfoundries Inc., Malta, NY, USA  
11:30 OPC Verification considering CMP Induced Topography
R. K. Kuncha1, A. N. Samy1, U. Katakamsetty2
1) Globalfoundries, Dresden, Germany;
2) Globalfoundries, Singapore

 

             Session 8 – Towards 7nm Technology                                                       
Session Chairs:
N. Davydova, ASML, Veldhoven, The Netherlands,
D. Sarlette, Infineon, Dresden, Germany

11:50    Getting ready for the 7nm Node                                        
 

G. Dicker, D. De Bruin, B. Peterson, P. Wöltgens, B. Sluijk, P. Jenkins, ASML, Veldhoven, the Netherlands

 
12:10  Patterning Options for N7 logic – Prospects and Challenges for EUV  
  E. van Setten, F. Wittebrood, E. Psara, T. Fliervoet, M. Dusa, G. Schiffelers, J. McNamara, N. Davydova, J. van Dijk, G. Rispens, T. Hollink, R. Hoefnagels, C. Verspaget, O. Yildirim, D. Oorschot, ASML Netherlands B.V., Veldhoven, The Netherlands; V. Philipsen, L. van Look, G. Lorusso, J. Hermans, E. Hendrickx, IMEC, Heverlee, Belgium  
12:30 Lunch Break in the Winteruin Hall (at the Technical Exhibition)

 

             Session 9 – Mask Metrology                                            
Session Chairs:
C. Bläsing, Carl Zeiss SMS GmbH, Oberkochen, Germany; K.-D. Röth, KLA-Tencor MIE, Weilburg, Germany;

13:30    In-die Mask Registration Metrology and the Impüact of High Resolution and Low Aberrations     
  D. Beyer1, D. Seidel1, S. Heisig1, S. Steinert1,
S.  Töpfer1, T. Scherübl1,  J. Hetzler2
1) Carl Zeiss SMS GmbH, Jena, Germany
2) Carl Zeiss SMT GmbH, Oberkochen, Germany
 
13:50  Actinic EUV Mask Metrology with Laboratory Sources – Filling the Gap between Beamline Installation and Industrial Demand  
  S. Herbert2, L. Bahrenberg2, S. Danylyuk2,
P. Loosen2, R. Lebert1, C. Phiesel1, T. Mißalla1, C. Piel1, L. Juschkin2
1) RI Research Instruments GmbH, Bergisch-Gladbach, Germany
2) RWTH Aachen University, 52074 Aachen, Germany
 
14:10 Fast Alternative Method for Measuring the Wavefront of Lithography Exposure Systems
A. Kabardiadi1, H. Aßmann2, A. Greiner2,
T. Baselt1, C. Taudt1, P. Hartmann1
1) Westsächsische Hochschule Zwickau,
2) Infineon Technologies Dresden GmbH
14:30 Challenges in Constructing EUV Metrology Tools to qualify EuV Masks for HVM Implementation
R.C.C. Perera, EUV Tech, Martinez, CA, USA
14:50 Coffee Break in the Winteruin Hall (at the Technical Exhibition)

 

        Session 10 – Measurement & Inspection Techniques                             
Session Chairs:
D. Sarlette, Infineon, Dresden, Germany;
I. Stolberg, Vistec Electron Beam GmbH, Jena, Germany

15:20     Investigations for an Alternative to contact Angle Measurement after Hexamethyl-disilazane     
H. Aßmann, A. Krause, R. Maurer, M. Dankelmann, M. Specht, Infineon Dresden GmbH, Germany; B. Usry, R. Newcomb, Qcept Technologies Inc., Atlanta, Georgia, USA
15:40 

Productivity Enhancement and Reliability through Auto Analysis

 
A. Garetto, T. Rademacher, K. Schulz, Carl Zeiss SMS, Jena, Germany
16:00 YieldStar based Reticle 3D Measurements and its Application
V. Vaenkatesan, T. Schellekens, N. Davydova, H. Dillen, J. van Dijk, ASML Netherlands B.V., Veldhoven, The Netherlands
16:20 Enabling Inspection Solutions for Future Mask Technologies Through the Development of Massively Parallel E-Beam Inspection
M. Malloy1, B. Thiel2, B. D. Bunday1, V. Jindal1,
S.  Wurm1, T. Kemen3, D. Zeidler3, A. L.  Eberle3, T. Garbowski3,
G. Dellemann3, J. H. Peters4
1) SEMATECH, Albany, NY, USA
2) SUNY Polytechnic Institute, Albany, NY USA
3) Carl Zeiss Microscopy GmbH, Oberkochen,  Germany
4) Carl Zeiss SMS GmbH, Jena, Germany

 

         Session 11 – Extension of Immersion Lithography   
Session Chairs:
J. Finders, ASML, Veldhoven, The Netherlands;
J. H. Peters, Carl Zeiss SMS GmbH, Jena, Germany;

16:40 AGILE Integration into APC for High Mix Logic Fab (Invited)     
             M. Gatefait, A. Lam, B. Le-Gratiet, M. Mikolajczak, V. Morin, N. Chojnowski, Z. Kocsis, I. Smith, J. Decaunes, A. Ostrovsky, C. Monget, ST Microelectronics, Crolles, France  
17:10 Enabling the 14nm Node Contact Patterning using advanced RET Solutions  
  N. Zeggaoui, Mentor Graphics Corporation, Montbonnot Saint Martin, France; G. Landie, V. Farys, E. Yesilada, ST Microelectronics, Crolles, France; A. Tritchkov, J. Word, Mentor Graphics Corporation, Wilsonville, OR, USA  
17:30 Product Layout Induced Topography Effects on Intrafield Levelling
J.-G. Simiz, B. Le-Gratiet, ST Microelectronics, Crolles, France; W.T. Tel, ASML, Veldhoven, The Netherlands; C. Prentice, ASML, Bernin, France; A. Tishchenko, LaHC CNRS-UMR, Saint Étienne, France

 

         Session 12 – High NA EUV Lithography                                  
Session Chairs:
C. Zoldesi, N. Davydova, ASML, Veldhoven, The Netherlands

17:50 Imaging Performance of high NA Anamorphic Exposure Tools                    
  K. van Ingen Schenau, G. Bottiglieri, J. van Schoot, ASML Netherlands B.V., The Netherlands; J.T. Neumann, M. Roesch, Carl Zeiss SMT GmbH, Oberkochen, Germany  
18:10  Anamophic high-NA EUV Lithography Optics  
  B. Kneer, S. Migura, W. Kaiser, J. T. Neumann, Carl Zeiss SMT GmbH, Oberkochen, Germany; J. van Schoot, ASML Netherlands B.V., Veldhoven, The Netherlands  
18:30 Farewell
18:40 End of EMLC 2015

 

 Wednesday, June 24th, 2015 

              Visiting ASML. The program includes a tour at ASML premises.          

10:30     Bus leaves from the Pullman in Eindhoven to ASML, Veldhoven.                                                                                        

              Program at ASML, including tour at ASML premises:                       
11:30 Lunch at ASML
12:30 Opening & Introduction of ASML
13:00 EUV presentation (we are trying to get an interactive movie in place)
13:30 Timeslot for technical presentation
14:30 Break
15:00 Campus Tour
16:00 End

 Cooperating Partners

 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.