InSite

Login

Notes

Skip Navigation LinksEMLC 2014 Foreword

EMLC 2014 Foreword 

Foreword 

Welcome to the EMLC 2014 in Dresden

30th European Mask and Lithography Conference EMLC 2014
being held on
June 24th and June 25th, 2014
at the Hilton Hotel, Dresden, Germany

 

On behalf of VDE/VDI-GMM, the Sponsors, and the Organizing Committee, we would like to welcome you to the 30th European Mask and Lithography Conference, EMLC2014 at the Hilton Hotel in the City of Dresden, Germany.

The conference has annually brought together scientists, researchers, engineers, and technologists from research institutes and companies from around the world to present innovations at the forefront of mask lithography and mask technology.

The two days conference (starting on Tuesday at 09:00 am, ending on Wednesday at 06:00 pm) is dedicated to the science, technology, engineering and application of mask and lithography technologies and associated processes, giving an overview of the present status in mask and lithography technologies and the future strategy where mask producers and users have the opportunity of becoming acquainted with new developments and results. This year’s sessions include: “Mask Writing Time Optimization”; “EUV Lithography”; EUV Mask Technology”; E-Beam Technologies”; Templates Technologies”; “Simulation”; “Metrology”; “Wafer Processing”; DSA Technology and alternative Lithography” and “Processes and special Technologies”.

As Welcome Speaker we are pleased to announce Heinz Martin Esser, CEO Roth & Rau – Ortner GmbH, Dresden. In his presentation he will introduce European’s largest Cluster in the ICT (Information & Communication Technology) and will explain the impressing development with the main success factors.

Another highlight of his talk describes the efforts to build up a strong European Cooperation beginning initially with a partnership with Grenobles (Minalogic) which could currently be extended with other important European High-Tech Clusters (Silicon Europa) to establish a new mutual European Identity in the global competition.

Our first keynote speaker is Jan Hendrik Peters from Carl Zeiss, SMS GmbH, Jena, Germany. He will talk about “EUV Mask Infrastructure – Are we ready to meet the demands for the consumer electronics market?”

Our second keynote speaker is Stefan Wurm from SEMATECH. He will talk about: “EUV Lithography-Process, Challenges and Outlook.

As a tradition, we invited the Best Paper of PMJ 2014 (the paper will be announced by the PMJ Committee) and the Best Poster from BACUS2013:

Natalia Davydova from ASML “Black Border, Mask 3D effects: covering challenges of EUV Mask architecture for 22nm node and beyond”.
Also according to tradition we invited V. Jindal from SEMATECH to present information regarding the yearly “Mask Industry Survey”.

Besides these 6 invited talks we are very pleased to have 6 additional invited talks:

R. Seltmann, Globalfoundries, Dresden Germany.“ 28nm node process optimization: A litho centric view”. 

J. W. van der Horst, et al from ASML, Veldhoven, The Netherlands: “Performance overview of ASML’s NXE platform”.

P. Ackmann, Globalfoundries, Santa Clara, USA: ”Reticle write time and the need for speed”.

C. Zoldesi et al, ASML, Eindhoven, The Neterlands:” Pellicle for EUV Masks”.

T. Utsumi, Tokyo, Japan: “ New LEEPL Technology!”.

N. Hayashy, DNP, Japan: “Nanoimprint Templates: Development Status Update”.

 

Technical Exhibition

Parallel to the Conference Presentations, a Technical Exhibition will take place on Tuesday (09:00 AM to 06:00 PM) and on Wednesday (09:00 AM to 04:00 PM) where companies (mask suppliers, material suppliers and equipment suppliers) will exhibit their companies and products.

To foster the exchange between the conference attendees and the exhibitors, the exhibition area will also be the place for all coffee and lunch breaks.

 

Conference Dinner Banquet

For Tuesday evening we have organized the Conference Banquet Dinner at Albrechstberg Castle upon the River Elbe.

So, please enjoy the Technical Sessions of the EMLC2014 as well as the Technical Exhibition, but also allow yourself to visit Dresden, one of the most beautiful cities in Europe!

 

Uwe Behringer, EMLC2014 Conference Chair

 Cooperating Partners

Photomask Japan
 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.