InSite

Login

Notes

Skip Navigation LinksEMLC 2018 Invited Speakers

EMLC 2018 

Invited Speakers 

May we present you the Invited Speakers of the EMCL 2018 Conference

 

[Would you please click at the titles in order to open the Abstracts] 

 

Tuesday, June 19th, 2018

Session1  
 10:00 Best Poster BACUS 2017
Transparent and conductive backside coating of
EUV Lithography Masks for ultrashort pulse Laser Correction       

R. A. Maniyaraa, D. S. Ghosha, V. Pruneria,b
a) ICFO - Institut de Ciències Fotòniques,
The Barcelona Institute of Science and Technology, Barcelona, Spain
b) ICREA - Institució Catalana de Recerca I Estudis Avançats, Barcelona, Spain
 Session2  
 11:20 Multi-Beam Mask Writer – Enabling Tool for EUV Lithography
P. Mayrhofer, C. Klein, E. Platzgummer,
IMS Nanofabrication GmbH, Vienna, Austria

  

 11:45 Multi-beam mask writer MBM-1000 for advanced mask making
H. Matsumoto, H. Yamashita, H. Matsumoto, N. Nakayamada,
NuFlare Technology, Inc., Yokohama, Kanagawa, Japan
 Session3  
 13:20 Multi-Trigger Resist for Electron Beam and Extreme Ultraviolet Lithography
C. Popescu1,2, A. McClelland3, G. Dawson3, J. Roth4, A.P.G. Robinson2,3
1) Nanoscale Physics, University of Birmingham, UK
2) School of Chemical Engineering, University of Birmingham, UK
3) Irresistible Materials Ltd., Birmingham, UK
4) Nano-C., Westwood, MA, USA

  

 13:45 Photonic superlattice multilayers for EUV lithography infrastructure
F. Kuchar, R. Meisels, Montanuniversität Leoben, Austria

  

 14:10 EUV Pellicle Update   
J.-W. van der Horst, D. Brouns, P. Broman, R. Lafarre, T. Modderman, G. Salmaso, ASML Netherlands B.V., Veldhoven, Netherlands

  

 14:55 Reticle CDU improvement by Zeiss CDC and the impact on real circuit pattern       
R. Seltmann1, T. Thamm1, B. Geh4, M. D. Kaufmann2, A. Bitensky3,
A. N. Samy1, S. Maelzer1, M. Sczyrba5
1) GLOBALFOUNDRIES Dresden, Germany
2) Carl Zeiss SMT GmbH, Oberkochen, Germany
3) Carl Zeiss SMS, D.N. Misgav, Israel
4) Carl Zeiss SMT, Chandler, Arizona, USA
5) Advanced Mask Technology Center GmbH & Co. KG, Dresden, Germany
Session4
   
 15:45 Performance Validation of Mapper’s FLX-1200
J. Pradellesa, Y. Blancquaerta, S. Landisa, L. Paina, G. Rademakera, I. Servina,G. de Boerb, P. Brandtb, M. Dansbergb, R. Jagerb, J. Peijsterb, E. Slotb, S. Steenbrinkb, M. Wielandb
a) CEA-LETI, Grenoble, France
b) MAPPER Lithography, Delft, The Netherlands

  

16:30 Wafer-Level UV-Nanoimprint Lithography for high resolution and complex 3D Structures
T. Glinsner1, M. Eibelhuber1, G. Berger1, M. Chouiki1, C. Lenk2,
M. Hofmann2, S. Lenk2, T. Ivanov2, I. W. Rangelow2, A. Ahmad3,
A. Reum3, M. Holz3
1) EV Group, St. Florian am Inn, Austria
2) TU Ilmenau, Germany
3) Nanoanalytik GmbH, lmenau, Germany

  

17:15 Silicon nanowires patterning using UV-assisted graphoepitaxy DSA lithography
M. Argouda, G. Claveaua, P. Pimenta Barrosa, Z. Chalupaa, G. Chamiot-Maitrala, C. Navarrob, C. Nicoletb, I. Cayrefourcqc, R. Tirona
a) CEA-LETI, Minatec Campus, Grenoble, France
b) ARKEMA FRANCE, Lacq, France
c) ARKEMA FRANCE, Colombes, France
 

 

Wednesday, June 20th, 2018

Session6    
     
 09:35   Lithography technology and trends for More than Moore devices – Advanced Packaging & MEMS devices
A. Pizzagalli, Yole Développement, Lyon, France
     
 10:00   Best Paper of Photomask Japan 2018
Development of closed-type EUV pellicle

Y. Ono, K. Kohmura, A. Okubo, D. Taneichi, H. Ishikawa, T. Biyajima
Mitsui Chemicals Inc., Tokyo, Japan
     
 Session10    
     
 16:45   Automatic Defect Classification of SEM images using Deep
Learning

L. Bidault, D. Mastroeni, STMicroelectronics Rousset, France

 

 

 



 

Media Partner

Cooperating Partners

 
 
Impressum | © 2010 VDE Verband der Elektrotechnik Elektronik Informationstechnik e.V.